Here is the list of 5,130 keywords for the 112 languages that PLDB has that information. This list is case insensitive. Refer to the DB for case information.
You can also download keywordsOneHot.csv which contains a one-hot encoding of this data.
keyword | count | frequency | langs |
---|---|---|---|
if | 77 | 69% | Java JavaScript Python C C++ PHP Perl Ruby C# R Go Swift Scala Ada Rust Kotlin PowerShell Pascal Haskell Lua Julia Bash Objective-C Erlang Scheme Dart Solidity Verilog Reason Crystal CoffeeScript F# OCaml Groovy VHDL Pug Chapel ABAP Dylan AutoIt X10 Opa F* Clean SystemVerilog HCL ATS Bicep Speedie Twig Maxima Koka HOPE Felix Slope Jule Aith Angelscript Apex Streem TIScript Flow9 Violent ES Mond Q# Crema Ligo PowerQuery M Sibilant JS++ Sophia GorillaScript orange nimrod Ham RigC D++ |
else | 73 | 65% | Java JavaScript Python C C++ PHP Perl Ruby C# R Go Swift Scala Ada Rust Kotlin PowerShell Pascal Haskell Lua Julia Bash Objective-C Elixir Scheme Dart Solidity Solidity Verilog Reason Crystal CoffeeScript F# OCaml Groovy VHDL Pug Chapel ABAP Dylan AutoIt X10 Opa F* SystemVerilog HCL ATS Speedie Maxima Koka HOPE Felix Jule Aith Angelscript Apex Streem TIScript Flow9 Violent ES Mond Q# Crema Ligo PowerQuery M JS++ Sophia GorillaScript orange nimrod Ham RigC D++ |
for | 58 | 52% | Java JavaScript Python C C++ PHP Perl Ruby C# R Go Swift Scala Ada Rust Kotlin PowerShell Lua Julia Bash Objective-C Dart Solidity Solidity Verilog Reason Crystal CoffeeScript F# OCaml Groovy VHDL Pug Chapel ABAP Dylan AutoIt X10 SystemVerilog HCL Bicep Speedie Twig Maxima Felix Slope Jule Angelscript Apex TIScript Violent ES Mond Q# Ligo JS++ nimrod RigC D++ |
in | 50 | 45% | JavaScript Python Ruby C# R Swift Ada Rust Kotlin PowerShell Haskell Lua Bash Objective-C Elixir Dart Reason Crystal CoffeeScript F# OCaml Groovy VHDL Pug Chapel ABAP Dylan X10 F* SPARQL Clean HCL ATS Bicep Speedie HOPE Jule Aith Angelscript Apex Eclipse Command Language TIScript Violent ES Mond Q# Ligo PowerQuery M JS++ GorillaScript nimrod |
do | 50 | 45% | Java JavaScript C C++ PHP Perl Ruby C# Swift Scala Ada Rust Kotlin PowerShell Pascal Haskell Lua Julia Bash Objective-C Elixir Scheme Dart Solidity Reason Crystal CoffeeScript F# OCaml Groovy Chapel ABAP AutoIt X10 Opa SystemVerilog ATS Twig Maxima Felix Angelscript Apex Violent ES Mond Sibilant JS++ orange nimrod RigC D++ |
while | 49 | 44% | Java JavaScript Python C C++ PHP Perl Ruby C# R Swift Scala Ada Rust Kotlin PowerShell Pascal Lua Julia Bash Objective-C Dart Solidity Verilog Reason Crystal CoffeeScript F# OCaml Groovy VHDL Chapel ABAP Dylan AutoIt X10 SystemVerilog Speedie Maxima Angelscript Apex Violent ES Mond Q# JS++ orange nimrod RigC D++ |
return | 48 | 43% | Java JavaScript Python C C++ PHP Ruby C# Go Swift Scala Ada Rust Kotlin PowerShell Lua Julia Objective-C Dart Solidity Crystal CoffeeScript F# Groovy VHDL Chapel ABAP AutoIt X10 SystemVerilog Speedie Koka Felix Angelscript Apex Eclipse Command Language Streem TIScript Violent ES Mond Q# Crema JS++ GorillaScript orange nimrod Ham D++ |
true | 45 | 40% | JavaScript C++ Ruby C# Swift Scala Rust Kotlin Pascal Lua Julia Elixir Dart Solidity Reason Crystal CoffeeScript F# OCaml Groovy GraphQL Protocol Buffers F* SPARQL HCL Speedie Twig Jule Aith Angelscript Apex Streem TIScript Violent ES Mond Crema Ligo PowerQuery M JS++ Sophia GorillaScript orange Ham RigC Freefem |
false | 45 | 40% | JavaScript C++ Ruby C# Swift Scala Rust Kotlin Pascal Lua Julia Elixir Dart Solidity Reason Crystal CoffeeScript F# OCaml Groovy GraphQL Protocol Buffers F* SPARQL HCL Speedie Twig Jule Aith Angelscript Apex Streem TIScript Violent ES Mond Crema Ligo PowerQuery M JS++ Sophia GorillaScript orange Ham RigC Freefem |
break | 39 | 35% | Java JavaScript Python C C++ PHP Ruby C# R Go Swift Rust Kotlin PowerShell Pascal Lua Julia Objective-C Dart Solidity Crystal CoffeeScript F# Groovy Chapel X10 SystemVerilog Speedie Jule Aith Angelscript Apex Violent ES Mond Crema JS++ orange nimrod RigC |
case | 38 | 34% | Java JavaScript C C++ PHP Ruby C# Go Swift Scala Ada Haskell Objective-C Erlang Scheme Dart Verilog Crystal Groovy VHDL Pug ABAP Dylan AutoIt X10 Opa Clean SystemVerilog Felix Slope Angelscript Apex Eclipse Command Language Streem Violent ES Mond Ligo nimrod |
continue | 37 | 33% | Java JavaScript Python C C++ PHP Perl C# Go Swift Rust Kotlin PowerShell Pascal Julia Objective-C Dart Solidity CoffeeScript F# Groovy Chapel ABAP X10 SystemVerilog Speedie Jule Aith Angelscript Apex Violent ES Mond JS++ orange nimrod RigC D++ |
class | 34 | 30% | Java JavaScript Python C++ PHP Ruby C# Swift Scala Kotlin PowerShell Haskell Dart Reason Crystal CoffeeScript F# OCaml Groovy Chapel ABAP X10 Clean SystemVerilog Speedie Angelscript Apex Streem TIScript Violent ES JS++ orange Ham RigC |
import | 32 | 29% | Java JavaScript Python C++ Go Swift Scala Kotlin Haskell Julia Dart Solidity Groovy ABAP Protocol Buffers X10 Opa SystemVerilog ATS Speedie Twig Koka Angelscript Apex Eclipse Command Language Streem Flow9 Violent ES JS++ orange Ham RigC |
enum | 31 | 28% | Java JavaScript C C++ C# Swift Rust Kotlin Objective-C Dart Solidity Crystal Groovy GraphQL Chapel ABAP Protocol Buffers SystemVerilog Koka Felix Jule Angelscript Apex Eclipse Command Language Violent ES BARE JS++ Functional PHP Preprocessor orange nimrod RigC |
new | 31 | 28% | Java JavaScript C++ PHP C# Scala Ada Dart Solidity Reason CoffeeScript F# OCaml Groovy VHDL Chapel ABAP X10 F* SystemVerilog Felix Apex heap.coffee Streem TIScript Violent ES Q# JS++ GorillaScript orange Ham |
type | 30 | 27% | Go Scala Ada Rust Haskell Julia Reason Crystal F# OCaml GraphQL VHDL Chapel ABAP X10 Opa F* SystemVerilog Koka Felix Jule Aith Apex Eclipse Command Language Violent ES BARE Ligo PowerQuery M Sophia nimrod |
as | 30 | 27% | Python PHP C# Swift Rust Kotlin Haskell Dart Reason Crystal F# OCaml Groovy ABAP X10 Opa SPARQL ATS Koka Felix Aith Apex Eclipse Command Language Violent ES Q# Egison Crema PowerQuery M nimrod RigC |
var | 30 | 27% | JavaScript PHP C# Go Swift Scala Kotlin PowerShell Dart Solidity Pug Chapel ABAP X10 SystemVerilog HCL ATS Bicep Koka TIScript Violent ES Mond Sibilant JS++ Sophia GorillaScript orange Ham RigC D++ |
try | 30 | 27% | Java JavaScript Python C++ PHP C# Swift Scala Kotlin PowerShell Julia Erlang Dart Reason CoffeeScript F# OCaml Groovy ABAP X10 F* ATS Koka Apex TIScript Violent ES PowerQuery M JS++ orange nimrod |
function | 29 | 26% | JavaScript PHP R Ada PowerShell Lua Julia Bash Solidity Verilog Reason F# OCaml VHDL ABAP Opa F* SystemVerilog Speedie Koka IGOR Pro Aith Eclipse Command Language TIScript Violent ES Q# JS++ Sophia D++ |
then | 28 | 25% | Ruby Ada Pascal Haskell Lua Bash Reason Crystal CoffeeScript F# OCaml VHDL Chapel ABAP Dylan AutoIt Opa F* ATS Maxima Koka HOPE Felix Apex Ligo PowerQuery M GorillaScript D++ |
or | 28 | 25% | Python C++ PHP Perl Ruby Ada Pascal Lua Elixir Erlang CoffeeScript F# VHDL MIPS architecture ABAP AutoIt Opa SystemVerilog Speedie HOPE Slope Angelscript Apex Eclipse Command Language Q# GorillaScript nimrod Ham |
and | 28 | 25% | Python C++ PHP Perl Ruby Ada Pascal Lua Elixir Erlang CoffeeScript F# VHDL MIPS architecture ABAP AutoIt Opa SystemVerilog ATS Speedie HOPE Slope Angelscript Apex Eclipse Command Language Q# GorillaScript nimrod |
const | 27 | 24% | Java JavaScript C C++ PHP C# Go Rust Kotlin Julia Objective-C Dart F# Groovy Chapel SystemVerilog Jule Angelscript Apex Eclipse Command Language TIScript Violent ES Mond GorillaScript orange nimrod RigC |
let | 26 | 23% | JavaScript C# Swift Rust Haskell Julia Erlang Scheme Solidity Reason F# OCaml Chapel ABAP Dylan Clean SystemVerilog ATS Jule Aith Q# Ligo PowerQuery M Sophia Jisp nimrod |
default | 26 | 23% | Java JavaScript C C++ PHP C# Go Swift Haskell Objective-C Dart Verilog F# Groovy Pug ABAP X10 F* SystemVerilog Angelscript Apex Eclipse Command Language Flow9 Violent ES Mond Freefem |
end | 24 | 21% | Ruby Ada PowerShell Pascal Lua Julia Elixir Erlang Verilog Reason Crystal F# OCaml VHDL ABAP Dylan Opa F* SystemVerilog ATS IGOR Pro Apex Eclipse Command Language nimrod |
not | 24 | 21% | Python C++ Ruby Ada Pascal Lua Elixir Erlang CoffeeScript F# VHDL ABAP AutoIt F* SPARQL SystemVerilog HOPE Angelscript Apex Eclipse Command Language Q# Ligo GorillaScript nimrod |
with | 24 | 21% | JavaScript Python Scala Ada Dart Reason Crystal F# OCaml VHDL ABAP Opa F* SPARQL Clean SystemVerilog ATS Speedie Twig Felix Violent ES Egison Ligo JS++ |
when | 23 | 21% | Ruby Ada Kotlin Elixir Erlang Scheme Reason Crystal CoffeeScript F# OCaml VHDL Pug Chapel ABAP Dylan X10 F* ATS Felix Apex Sibilant nimrod |
private | 23 | 21% | Java JavaScript C++ PHP C# Swift Scala Ada Kotlin Solidity Crystal F# OCaml ABAP F* Koka Koka Angelscript Apex Violent ES JS++ Sophia orange |
null | 22 | 20% | JavaScript C# Scala Ada Kotlin Dart CoffeeScript F# Groovy GraphQL VHDL ABAP SystemVerilog HCL Angelscript Apex TIScript Violent ES Mond JS++ GorillaScript Ham |
finally | 22 | 20% | Java JavaScript Python PHP C# Scala Kotlin PowerShell Julia Dart CoffeeScript F# Groovy Dylan X10 Felix Apex TIScript Violent ES JS++ orange nimrod |
interface | 22 | 20% | Java JavaScript PHP C# Go Ada Kotlin F# Groovy GraphQL ABAP X10 SystemVerilog Koka Felix Angelscript Apex Eclipse Command Language Violent ES JS++ orange nimrod |
catch | 22 | 20% | Java JavaScript C++ PHP C# Swift Scala Kotlin PowerShell Julia Elixir Erlang Dart CoffeeScript Groovy ABAP X10 Apex TIScript Violent ES JS++ orange |
switch | 22 | 20% | Java JavaScript C C++ PHP C# Go Swift PowerShell Objective-C Dart Reason CoffeeScript Groovy ABAP X10 Angelscript Apex Flow9 Violent ES Mond JS++ |
module | 21 | 19% | C++ Ruby Haskell Julia Verilog Reason Crystal F# OCaml Chapel ABAP Opa F* SystemVerilog Bicep Speedie Koka Felix Aith Eclipse Command Language JS++ |
throw | 21 | 19% | Java JavaScript C++ PHP C# Swift Scala Kotlin PowerShell Dart Solidity CoffeeScript Groovy ABAP X10 Apex TIScript Violent ES Sophia GorillaScript orange |
of | 20 | 18% | Ada Haskell Erlang Reason Crystal CoffeeScript F# OCaml VHDL ABAP F* Clean ATS Felix Apex Eclipse Command Language Ligo orange nimrod RigC |
begin | 20 | 18% | Ruby Ada PowerShell Pascal Julia Erlang Verilog Reason Crystal F# OCaml VHDL Chapel ABAP Dylan Opa SystemVerilog ATS Slope Apex |
struct | 20 | 18% | C C++ C# Go Swift Rust Julia Objective-C Solidity Reason Crystal F# OCaml X10 SystemVerilog Koka Jule Aith heap.coffee Crema |
static | 20 | 18% | Java JavaScript C C++ PHP C# Swift Rust Objective-C Dart F# ABAP SystemVerilog ATS IGOR Pro Apex Violent ES JS++ orange nimrod |
is | 18 | 16% | Python C# Swift Ada Kotlin Dart Solidity CoffeeScript VHDL ABAP Speedie Angelscript Violent ES Q# PowerQuery M GorillaScript nimrod Ham |
yield | 18 | 16% | JavaScript Python PHP Ruby C# Scala Rust Dart Crystal F# Chapel Speedie Koka Felix Violent ES Mond JS++ nimrod |
int | 18 | 16% | Java JavaScript C C++ C# Objective-C Solidity SystemVerilog Jule Aith Angelscript Apex BARE Crema JS++ Sophia Functional PHP Preprocessor orange |
package | 18 | 16% | Java JavaScript Perl Go Scala Ada Kotlin Groovy VHDL ABAP Protocol Buffers Protocol Buffers X10 Opa SystemVerilog Apex Violent ES orange |
until | 17 | 15% | Perl Ruby Ada PowerShell Pascal Lua Bash Crystal CoffeeScript VHDL ABAP Dylan AutoIt SystemVerilog Maxima Q# D++ |
super | 17 | 15% | Java JavaScript Ruby Swift Scala Rust Kotlin Dart Solidity Crystal CoffeeScript Groovy SystemVerilog Apex TIScript JS++ orange |
public | 17 | 15% | Java JavaScript C++ PHP C# Swift Kotlin Solidity F# ABAP Protocol Buffers Koka Apex Violent ES JS++ Sophia orange |
protected | 17 | 15% | Java JavaScript C++ PHP C# Scala Ada Kotlin Crystal F# ABAP SystemVerilog Angelscript Apex Violent ES JS++ orange |
this | 17 | 15% | Java JavaScript C++ C# Scala Kotlin Dart Solidity CoffeeScript Groovy SystemVerilog Apex TIScript Violent ES Sibilant JS++ orange |
void | 16 | 14% | Java JavaScript C C++ C# Objective-C Dart F# SystemVerilog Angelscript Apex Violent ES BARE Crema JS++ orange |
final | 16 | 14% | Java JavaScript C++ PHP Swift Scala Rust Kotlin Dart ABAP X10 SystemVerilog Apex Violent ES JS++ orange |
abstract | 16 | 14% | Java JavaScript PHP C# Scala Ada Rust Kotlin Julia Dart Crystal F# ABAP F* Apex JS++ |
to | 15 | 13% | Reason F# OCaml VHDL ABAP Protocol Buffers Dylan AutoIt SPARQL Maxima Felix Ligo GorillaScript Lexon D++ |
set | 15 | 13% | C# Swift Kotlin Bash Dart ABAP Twig Apex TIScript Violent ES Q# Egison Ligo Sibilant orange |
export | 15 | 13% | JavaScript C++ Julia Bash Dart Chapel ABAP Dylan Clean SystemVerilog Apex Eclipse Command Language Flow9 nimrod RigC |
assert | 15 | 13% | Java Python Dart Reason F# OCaml Groovy VHDL ABAP X10 SystemVerilog Felix Apex Ligo GorillaScript |
where | 14 | 13% | C# Swift Rust Kotlin Haskell Chapel ABAP SPARQL Clean ATS HOPE Apex Violent ES orange |
use | 14 | 13% | PHP Ada Rust Verilog F# VHDL Chapel Dylan SystemVerilog Twig Eclipse Command Language Violent ES Q# Functional PHP Preprocessor |
goto | 14 | 13% | Java JavaScript C C++ PHP C# Go Ada Objective-C Groovy X10 Felix Jule Apex |
include | 13 | 12% | PHP Verilog Reason Crystal F# OCaml Pug ABAP F* SystemVerilog Twig Koka Violent ES |
xor | 13 | 12% | C++ PHP Perl Ada Pascal Erlang VHDL MIPS architecture SystemVerilog Speedie Angelscript GorillaScript nimrod |
bool | 13 | 12% | C++ C# Solidity HCL Jule Aith Angelscript BARE Crema JS++ Sophia Functional PHP Preprocessor orange |
IN | 13 | 12% | SQL Fortran MySQL COBOL PostgreSQL Modula-3 SQLite MariaDB Transact-SQL Apex Cypher Query Language DAX Amazon Redshift |
ELSE | 13 | 12% | SQL Fortran MySQL COBOL PostgreSQL Modula-3 SQLite QBasic MariaDB Transact-SQL Apex Cypher Query Language Amazon Redshift |
from | 13 | 12% | Python C# PowerShell ABAP Dylan SPARQL Twig Maxima Apex Eclipse Command Language Violent ES Ham RigC |
extends | 13 | 12% | Java JavaScript PHP Scala Dart CoffeeScript Groovy Pug SystemVerilog Twig Apex Violent ES Ham |
THEN | 12 | 11% | Fortran MySQL COBOL PostgreSQL Modula-3 SQLite QBasic MariaDB Transact-SQL Apex Cypher Query Language Amazon Redshift |
virtual | 12 | 11% | C++ C# Rust Reason F# OCaml SystemVerilog Speedie Apex Eclipse Command Language JS++ orange |
override | 12 | 11% | C++ C# Swift Scala Rust Kotlin F# IGOR Pro Apex Violent ES JS++ RigC |
namespace | 12 | 11% | C++ PHP C# F# Felix Angelscript Eclipse Command Language Streem TIScript Violent ES Q# Functional PHP Preprocessor |
ON | 12 | 11% | SQL MySQL COBOL PostgreSQL SQLite QBasic MariaDB Transact-SQL Apex Cypher Query Language Cypher Query Language Amazon Redshift |
NOT | 12 | 11% | SQL MySQL COBOL PostgreSQL Modula-3 SQLite MariaDB Transact-SQL Apex Cypher Query Language DAX Amazon Redshift |
CASE | 12 | 11% | SQL Fortran MySQL PostgreSQL Modula-3 SQLite QBasic MariaDB Transact-SQL Apex Cypher Query Language Amazon Redshift |
extern | 12 | 11% | C C++ C# Rust Objective-C F# Chapel SystemVerilog ATS Aith Crema orange |
delete | 12 | 11% | JavaScript C++ CoffeeScript Chapel ABAP SPARQL Apex heap.coffee Violent ES JS++ GorillaScript orange |
double | 12 | 11% | Java JavaScript C C++ C# Pascal Objective-C Angelscript Apex Crema JS++ orange |
fun | 11 | 10% | Kotlin Erlang Reason Crystal F# OCaml F* ATS Koka Mond Ligo |
match | 11 | 10% | Scala Rust F# OCaml ABAP Opa F* Koka Felix Jule Ligo |
string | 11 | 10% | C# Solidity SystemVerilog HCL BARE Crema JS++ Sophia Functional PHP Preprocessor orange Ham |
select | 11 | 10% | C# Go Ada Crystal VHDL Chapel ABAP Dylan AutoIt SPARQL Apex |
TO | 11 | 10% | SQL Fortran MySQL COBOL PostgreSQL Modula-3 SQLite QBasic MariaDB Transact-SQL Amazon Redshift |
OR | 11 | 10% | SQL MySQL COBOL PostgreSQL Modula-3 SQLite MariaDB Transact-SQL Apex Cypher Query Language Amazon Redshift |
NULL | 11 | 10% | SQL MySQL R COBOL PostgreSQL Objective-C SQLite MariaDB Transact-SQL Apex Amazon Redshift |
END | 11 | 10% | SQL Ruby Fortran COBOL PostgreSQL Modula-3 SQLite Transact-SQL Apex Cypher Query Language Amazon Redshift |
BY | 11 | 10% | SQL MySQL COBOL PostgreSQL Modula-3 SQLite MariaDB Transact-SQL Apex Cypher Query Language DAX |
AS | 11 | 10% | SQL MySQL PostgreSQL Modula-3 SQLite QBasic MariaDB Transact-SQL Apex Cypher Query Language Amazon Redshift |
AND | 11 | 10% | SQL MySQL COBOL PostgreSQL Modula-3 SQLite MariaDB Transact-SQL Apex Cypher Query Language Amazon Redshift |
union | 11 | 10% | C C++ Objective-C Crystal GraphQL Chapel ABAP SPARQL SystemVerilog Aith RigC |
float | 11 | 10% | Java JavaScript C C++ C# Objective-C Angelscript Apex JS++ Functional PHP Preprocessor orange |
char | 11 | 10% | Java JavaScript C C++ C# Objective-C HOPE Apex Crema JS++ orange |
local | 10 | 9% | Lua Julia Chapel ABAP Dylan AutoIt SystemVerilog HCL ATS Eclipse Command Language |
macro | 10 | 9% | Rust Julia Crystal Twig IGOR Pro Slope BlackCoffee Eclipse Command Language nimrod Storymatic |
loop | 10 | 9% | Ada Rust Scheme CoffeeScript VHDL ABAP Felix Aith Apex D++ |
RETURN | 10 | 9% | Fortran MySQL COBOL PostgreSQL Modula-3 MariaDB Transact-SQL Apex Cypher Query Language DAX |
out | 10 | 9% | C# Ada Kotlin Objective-C Crystal VHDL Chapel ABAP Angelscript nimrod |
nil | 10 | 9% | Ruby Swift Lua Objective-C Elixir Crystal Chapel HOPE Jule Streem |
using | 10 | 9% | C++ C# PowerShell Julia Solidity ABAP SPARQL Apex Q# nimrod |
WITH | 10 | 9% | SQL MySQL COBOL PostgreSQL Modula-3 SQLite MariaDB Transact-SQL Cypher Query Language Amazon Redshift |
WHERE | 10 | 9% | SQL Fortran MySQL PostgreSQL SQLite MariaDB Transact-SQL Apex Cypher Query Language Amazon Redshift |
USING | 10 | 9% | SQL MySQL COBOL PostgreSQL SQLite QBasic MariaDB Transact-SQL Apex Amazon Redshift |
SET | 10 | 9% | SQL MySQL COBOL PostgreSQL Modula-3 SQLite MariaDB Transact-SQL Apex Cypher Query Language |
SELECT | 10 | 9% | SQL Fortran MySQL COBOL PostgreSQL SQLite MariaDB Transact-SQL Apex Amazon Redshift |
ORDER | 10 | 9% | SQL MySQL COBOL PostgreSQL SQLite MariaDB Transact-SQL Cypher Query Language DAX Amazon Redshift |
IS | 10 | 9% | SQL MySQL COBOL PostgreSQL SQLite QBasic MariaDB Transact-SQL Cypher Query Language Amazon Redshift |
GROUP | 10 | 9% | SQL MySQL COBOL PostgreSQL SQLite MariaDB Transact-SQL Transact-SQL Apex Amazon Redshift |
FROM | 10 | 9% | SQL MySQL COBOL PostgreSQL Modula-3 SQLite MariaDB Transact-SQL Apex Amazon Redshift |
FOR | 10 | 9% | SQL MySQL COBOL PostgreSQL Modula-3 SQLite MariaDB Transact-SQL Apex Amazon Redshift |
DESC | 10 | 9% | SQL MySQL PostgreSQL SQLite MariaDB Transact-SQL Apex Cypher Query Language DAX Amazon Redshift |
ASC | 10 | 9% | SQL MySQL PostgreSQL SQLite MariaDB Transact-SQL Apex Cypher Query Language DAX Amazon Redshift |
global | 10 | 9% | Python PHP C# Julia F# ABAP AutoIt SystemVerilog Apex Mond |
def | 10 | 9% | Python Ruby Scala Crystal Groovy X10 Streem Crema Sibilant orange |
typeof | 10 | 9% | JavaScript C# Rust Crystal CoffeeScript Pug Felix TIScript Violent ES JS++ |
long | 10 | 9% | Java JavaScript C C++ C# Objective-C ABAP Aith Apex JS++ |
short | 10 | 9% | Java JavaScript C C++ C# Objective-C ABAP Aith Apex JS++ |
instanceof | 10 | 9% | Java JavaScript PHP CoffeeScript Groovy X10 Apex TIScript JS++ GorillaScript |
open | 9 | 8% | Kotlin Reason F# OCaml VHDL ABAP F* Felix Q# |
external | 9 | 8% | Kotlin Dart Solidity Reason F# OCaml Opa Koka JS++ |
data | 9 | 8% | Kotlin PowerShell Haskell ABAP SPARQL HOPE BARE Functional PHP Preprocessor orange |
by | 9 | 8% | Kotlin CoffeeScript Chapel ABAP Dylan F* SPARQL Apex GorillaScript |
val | 9 | 8% | Scala Kotlin Reason F# OCaml X10 Opa F* Koka |
repeat | 9 | 8% | R Swift Pascal Lua Verilog SystemVerilog Maxima Eclipse Command Language Q# |
WHEN | 9 | 8% | MySQL COBOL PostgreSQL SQLite MariaDB Transact-SQL Apex Cypher Query Language Amazon Redshift |
LIMIT | 9 | 8% | MySQL COBOL PostgreSQL SQLite MariaDB Transact-SQL Apex Cypher Query Language Amazon Redshift |
object | 9 | 8% | C# Scala Kotlin Reason F# OCaml ABAP Apex nimrod |
get | 9 | 8% | C# Swift Kotlin Dart ABAP Apex TIScript Violent ES orange |
self | 9 | 8% | Ruby Swift Rust Objective-C Crystal Speedie Jule Eclipse Command Language Q# |
inline | 9 | 8% | C++ Kotlin Objective-C F# Chapel F* Clean Koka Aith |
TRUE | 9 | 8% | SQL MySQL R COBOL PostgreSQL MariaDB Transact-SQL Apex Amazon Redshift |
INTO | 9 | 8% | SQL MySQL COBOL PostgreSQL SQLite MariaDB Transact-SQL Apex Amazon Redshift |
FALSE | 9 | 8% | SQL MySQL R COBOL PostgreSQL MariaDB Transact-SQL Apex Amazon Redshift |
DOUBLE | 9 | 8% | SQL Fortran MySQL PostgreSQL QBasic MariaDB Transact-SQL Apex DAX |
DELETE | 9 | 8% | SQL MySQL COBOL PostgreSQL SQLite MariaDB Transact-SQL Apex Cypher Query Language |
ALL | 9 | 8% | SQL MySQL COBOL PostgreSQL SQLite MariaDB Transact-SQL Cypher Query Language Amazon Redshift |
byte | 9 | 8% | Java JavaScript C# Pascal Solidity ABAP SystemVerilog Aith JS++ |
implements | 9 | 8% | Java JavaScript PHP Dart Groovy GraphQL SystemVerilog Apex Violent ES |
mod | 8 | 7% | Ada Rust Pascal F# VHDL HOPE Ligo nimrod |
exit | 8 | 7% | Ada PowerShell Bash VHDL ABAP AutoIt AutoIt Apex |
exception | 8 | 7% | Ada Reason F# OCaml ABAP F* ATS Apex |
inout | 8 | 7% | Swift Objective-C Verilog VHDL Chapel ABAP SystemVerilog Angelscript |
IF | 8 | 7% | Fortran MySQL COBOL Modula-3 SQLite MariaDB Transact-SQL Apex |
DEFAULT | 8 | 7% | Fortran MySQL PostgreSQL SQLite MariaDB Transact-SQL Apex Amazon Redshift |
uint | 8 | 7% | C# Solidity Jule Aith Angelscript BARE Crema orange |
event | 8 | 7% | C# Solidity Verilog F# ABAP SystemVerilog Sophia Functional PHP Preprocessor |
alias | 8 | 7% | Ruby C# Crystal VHDL ABAP SystemVerilog Koka orange |
foreach | 8 | 7% | PHP Perl C# PowerShell SystemVerilog Mond Crema JS++ |
elseif | 8 | 7% | PHP PowerShell Lua ABAP Dylan AutoIt Speedie Maxima |
UNION | 8 | 7% | SQL MySQL PostgreSQL SQLite MariaDB Transact-SQL Cypher Query Language Amazon Redshift |
TABLE | 8 | 7% | SQL MySQL COBOL PostgreSQL SQLite MariaDB Transact-SQL Amazon Redshift |
RIGHT | 8 | 7% | SQL MySQL COBOL PostgreSQL SQLite MariaDB Transact-SQL Amazon Redshift |
REFERENCES | 8 | 7% | SQL MySQL COBOL PostgreSQL SQLite MariaDB Transact-SQL Amazon Redshift |
PROCEDURE | 8 | 7% | SQL Fortran MySQL COBOL PostgreSQL Modula-3 MariaDB Transact-SQL |
OUTER | 8 | 7% | SQL MySQL PostgreSQL SQLite MariaDB Transact-SQL Apex Amazon Redshift |
OF | 8 | 7% | SQL MySQL COBOL PostgreSQL Modula-3 SQLite Transact-SQL Apex |
LIKE | 8 | 7% | SQL MySQL PostgreSQL SQLite MariaDB Transact-SQL Apex Amazon Redshift |
LEFT | 8 | 7% | SQL MySQL COBOL PostgreSQL SQLite MariaDB Transact-SQL Amazon Redshift |
JOIN | 8 | 7% | SQL MySQL PostgreSQL SQLite MariaDB Transact-SQL Apex Amazon Redshift |
INTEGER | 8 | 7% | SQL Fortran MySQL PostgreSQL QBasic MariaDB Transact-SQL DAX |
INSERT | 8 | 7% | SQL MySQL COBOL PostgreSQL SQLite MariaDB Transact-SQL Apex |
INNER | 8 | 7% | SQL MySQL PostgreSQL SQLite MariaDB Transact-SQL Apex Amazon Redshift |
HAVING | 8 | 7% | SQL MySQL PostgreSQL SQLite MariaDB Transact-SQL Apex Amazon Redshift |
DISTINCT | 8 | 7% | SQL MySQL PostgreSQL SQLite MariaDB Transact-SQL Cypher Query Language Amazon Redshift |
CREATE | 8 | 7% | SQL MySQL PostgreSQL SQLite MariaDB Transact-SQL Cypher Query Language Amazon Redshift |
CONTINUE | 8 | 7% | SQL Fortran MySQL COBOL PostgreSQL MariaDB Transact-SQL Apex |
COLUMN | 8 | 7% | SQL MySQL COBOL PostgreSQL SQLite MariaDB Transact-SQL Amazon Redshift |
CALL | 8 | 7% | SQL Fortran MySQL COBOL PostgreSQL MariaDB Transact-SQL Cypher Query Language |
ANY | 8 | 7% | SQL COBOL PostgreSQL Modula-3 QBasic Transact-SQL Apex Amazon Redshift |
typedef | 8 | 7% | C C++ Objective-C Dart SystemVerilog ATS Felix Angelscript |
elif | 8 | 7% | Python Bash F# Koka Felix Q# orange nimrod |
volatile | 8 | 7% | Java JavaScript C C++ C# Objective-C F# Apex |
rec | 7 | 6% | Haskell Reason F# OCaml Opa ATS Koka |
instance | 7 | 6% | Haskell Verilog ABAP Clean SystemVerilog Koka Felix |
- | 7 | 6% | PostgreSQL Kotlin Pascal Haskell Visual Basic .NET HOPE Angelscript |
infix | 7 | 6% | Swift Kotlin Haskell Clean ATS Koka HOPE |
map | 7 | 6% | Go VHDL Protocol Buffers Apex BARE Ligo Sophia |
BINARY | 7 | 6% | MySQL COBOL PostgreSQL QBasic MariaDB Transact-SQL Amazon Redshift |
EXIT | 7 | 6% | Fortran MySQL COBOL Modula-3 MariaDB Transact-SQL Apex |
record | 7 | 6% | C# Ada VHDL Chapel Eclipse Command Language Ligo Sophia |
internal | 7 | 6% | C# Swift Kotlin F# ABAP Eclipse Command Language Violent ES |
next | 7 | 6% | Ruby R Crystal VHDL ABAP AutoIt D++ |
endif | 7 | 6% | PHP ABAP AutoIt HCL Twig Felix D++ |
operator | 7 | 6% | C++ C# Swift Kotlin Dart X10 Ham |
WRITE | 7 | 6% | SQL Fortran MySQL COBOL PostgreSQL MariaDB Transact-SQL |
VALUES | 7 | 6% | SQL MySQL COBOL PostgreSQL SQLite MariaDB Transact-SQL |
UPDATE | 7 | 6% | SQL MySQL PostgreSQL SQLite MariaDB Transact-SQL Apex |
UNIQUE | 7 | 6% | SQL MySQL PostgreSQL SQLite MariaDB Transact-SQL Amazon Redshift |
TRAILING | 7 | 6% | SQL MySQL COBOL PostgreSQL MariaDB Transact-SQL Amazon Redshift |
READ | 7 | 6% | SQL Fortran MySQL COBOL PostgreSQL MariaDB Transact-SQL |
PRIMARY | 7 | 6% | SQL MySQL PostgreSQL SQLite MariaDB Transact-SQL Amazon Redshift |
NO | 7 | 6% | SQL COBOL PostgreSQL Objective-C SQLite MariaDB Transact-SQL |
NATURAL | 7 | 6% | SQL MySQL PostgreSQL SQLite MariaDB Transact-SQL Amazon Redshift |
MATCH | 7 | 6% | SQL MySQL PostgreSQL SQLite MariaDB Transact-SQL Cypher Query Language |
KEY | 7 | 6% | SQL MySQL COBOL PostgreSQL SQLite MariaDB Transact-SQL |
FOREIGN | 7 | 6% | SQL MySQL PostgreSQL SQLite MariaDB Transact-SQL Amazon Redshift |
EXISTS | 7 | 6% | SQL MySQL PostgreSQL SQLite MariaDB Transact-SQL Cypher Query Language |
EXCEPT | 7 | 6% | SQL MySQL PostgreSQL Modula-3 SQLite Transact-SQL Amazon Redshift |
CURRENT_TIMESTAMP | 7 | 6% | SQL MySQL PostgreSQL SQLite MariaDB Transact-SQL Amazon Redshift |
CURRENT_TIME | 7 | 6% | SQL MySQL PostgreSQL SQLite MariaDB Transact-SQL Amazon Redshift |
CURRENT_DATE | 7 | 6% | SQL MySQL PostgreSQL SQLite MariaDB Transact-SQL Amazon Redshift |
CONSTRAINT | 7 | 6% | SQL MySQL PostgreSQL SQLite MariaDB Transact-SQL Amazon Redshift |
COLLATE | 7 | 6% | SQL MySQL PostgreSQL SQLite MariaDB Transact-SQL Amazon Redshift |
CHECK | 7 | 6% | SQL MySQL PostgreSQL SQLite MariaDB Transact-SQL Amazon Redshift |
CHARACTER | 7 | 6% | SQL Fortran MySQL COBOL PostgreSQL MariaDB Transact-SQL |
BETWEEN | 7 | 6% | SQL MySQL PostgreSQL SQLite MariaDB Transact-SQL Amazon Redshift |
BEGIN | 7 | 6% | SQL Ruby PostgreSQL Modula-3 SQLite Transact-SQL Apex |
ALTER | 7 | 6% | SQL MySQL COBOL PostgreSQL SQLite MariaDB Transact-SQL |
ADD | 7 | 6% | SQL MySQL COBOL PostgreSQL SQLite MariaDB Transact-SQL |
unsigned | 7 | 6% | C C++ Objective-C Verilog SystemVerilog Aith JS++ |
signed | 7 | 6% | C C++ Objective-C Verilog SystemVerilog Aith JS++ |
native | 7 | 6% | Java JavaScript ABAP Aith Apex Flow9 Violent ES |
block | 6 | 5% | VHDL Pug ABAP Twig Ligo nimrod |
on | 6 | 5% | CoffeeScript GraphQL VHDL Chapel ABAP Apex |
downto | 6 | 5% | Reason F# OCaml VHDL Maxima Felix |
library | 6 | 5% | Dart Solidity Verilog VHDL SystemVerilog Sophia |
list | 6 | 5% | Scheme ABAP Apex Egison Ligo Sophia |
property | 6 | 5% | Kotlin ABAP SystemVerilog TIScript JS++ orange |
TRIGGER | 6 | 5% | MySQL PostgreSQL SQLite MariaDB Transact-SQL Apex |
MOD | 6 | 5% | MySQL PostgreSQL Modula-3 QBasic MariaDB Transact-SQL |
LEADING | 6 | 5% | MySQL COBOL PostgreSQL MariaDB Transact-SQL Amazon Redshift |
INDEX | 6 | 5% | MySQL COBOL PostgreSQL SQLite MariaDB Transact-SQL |
IGNORE | 6 | 5% | MySQL PostgreSQL SQLite MariaDB Transact-SQL Amazon Redshift |
CROSS | 6 | 5% | MySQL PostgreSQL SQLite MariaDB Transact-SQL Amazon Redshift |
BEFORE | 6 | 5% | MySQL COBOL PostgreSQL SQLite MariaDB Transact-SQL |
WHILE | 6 | 5% | Fortran MySQL Modula-3 MariaDB Transact-SQL Apex |
RECURSIVE | 6 | 5% | Fortran MySQL COBOL PostgreSQL SQLite Transact-SQL |
ref | 6 | 5% | C# Rust Chapel ABAP SystemVerilog nimrod |
group | 6 | 5% | C# VHDL ABAP SPARQL Apex Eclipse Command Language |
unless | 6 | 5% | Perl Ruby Crystal CoffeeScript Pug Dylan |
trait | 6 | 5% | PHP Scala Rust F# Groovy Jule |
mutable | 6 | 5% | C++ Reason F# OCaml F* Q# |
VARYING | 6 | 5% | SQL MySQL COBOL PostgreSQL MariaDB Transact-SQL |
RESTRICT | 6 | 5% | SQL MySQL PostgreSQL SQLite MariaDB Transact-SQL |
REAL | 6 | 5% | SQL Fortran MySQL PostgreSQL MariaDB Transact-SQL |
OUT | 6 | 5% | SQL Fortran MySQL PostgreSQL MariaDB Transact-SQL |
OPEN | 6 | 5% | SQL Fortran COBOL PostgreSQL Transact-SQL Amazon Redshift |
NUMERIC | 6 | 5% | SQL MySQL COBOL PostgreSQL MariaDB Transact-SQL |
INT | 6 | 5% | SQL MySQL PostgreSQL MariaDB Transact-SQL Apex |
INOUT | 6 | 5% | SQL Fortran MySQL PostgreSQL MariaDB Transact-SQL |
GRANT | 6 | 5% | SQL MySQL PostgreSQL MariaDB Transact-SQL Amazon Redshift |
FUNCTION | 6 | 5% | SQL Fortran MySQL COBOL PostgreSQL Transact-SQL |
FLOAT | 6 | 5% | SQL MySQL PostgreSQL MariaDB Transact-SQL Apex |
EXCEPTION | 6 | 5% | SQL COBOL PostgreSQL Modula-3 Transact-SQL Apex |
DROP | 6 | 5% | SQL MySQL PostgreSQL SQLite MariaDB Transact-SQL |
DECIMAL | 6 | 5% | SQL MySQL PostgreSQL MariaDB Transact-SQL Apex |
CURRENT_USER | 6 | 5% | SQL MySQL PostgreSQL MariaDB Transact-SQL Amazon Redshift |
CHAR | 6 | 5% | SQL MySQL PostgreSQL MariaDB Transact-SQL Apex |
CAST | 6 | 5% | SQL PostgreSQL SQLite Transact-SQL Apex Amazon Redshift |
CASCADE | 6 | 5% | SQL MySQL PostgreSQL SQLite MariaDB Transact-SQL |
BOTH | 6 | 5% | SQL MySQL PostgreSQL MariaDB Transact-SQL Amazon Redshift |
sizeof | 6 | 5% | C C++ C# Rust Objective-C Crystal |
auto | 6 | 5% | C C++ Objective-C Angelscript Q# JS++ |
raise | 6 | 5% | Python Ada OCaml ABAP Felix nimrod |
lambda | 6 | 5% | Python Scheme HOPE Felix Slope Sibilant |
throws | 6 | 5% | Java JavaScript Swift Groovy Apex Violent ES |
any | 5 | 4% | HCL Jule Apex Eclipse Command Language Jisp |
cast | 5 | 4% | ABAP Angelscript Apex Flow9 nimrod |
method | 5 | 4% | F# OCaml ABAP Streem nimrod |
undefined | 5 | 4% | CoffeeScript TIScript Violent ES Mond JS++ |
extend | 5 | 4% | Crystal GraphQL ABAP Eclipse Command Language orange |
load | 5 | 4% | Scheme ABAP SPARQL Slope Eclipse Command Language |
Structure | 5 | 4% | Visual Basic Visual Basic .NET Visual Basic .NET IGOR Pro SpiderBasic |
For | 5 | 4% | Visual Basic Visual Basic .NET Visual Basic .NET Apex SpiderBasic |
End | 5 | 4% | Visual Basic Visual Basic .NET Visual Basic .NET Apex SpiderBasic |
after | 5 | 4% | Elixir Erlang VHDL ABAP Eclipse Command Language |
Class | 5 | 4% | Objective-C Visual Basic Visual Basic .NET Visual Basic .NET Apex |
done | 5 | 4% | Bash Reason F# OCaml Felix |
forall | 5 | 4% | Haskell Chapel Opa F* Felix |
div | 5 | 4% | Pascal Erlang MIPS architecture HOPE nimrod |
@ | 5 | 4% | Kotlin Haskell Objective-C CoffeeScript Angelscript |
> | 5 | 4% | Kotlin Pascal Haskell HOPE Angelscript |
= | 5 | 4% | Kotlin Pascal Haskell Visual Basic .NET Angelscript |
* | 5 | 4% | Kotlin Kotlin Pascal Visual Basic .NET Angelscript |
+ | 5 | 4% | Kotlin Pascal Visual Basic .NET HOPE Angelscript |
ARRAY | 5 | 4% | PostgreSQL Modula-3 Transact-SQL Apex Amazon Redshift |
all | 5 | 4% | Ada VHDL ABAP Felix Eclipse Command Language |
optional | 5 | 4% | Swift ABAP Protocol Buffers SPARQL BARE |
lazy | 5 | 4% | Swift Scala Reason F# OCaml |
OFF | 5 | 4% | COBOL PostgreSQL QBasic Transact-SQL Amazon Redshift |
OBJECT | 5 | 4% | COBOL PostgreSQL Modula-3 Transact-SQL Apex |
func | 5 | 4% | Go Swift AutoIt nimrod RigC |
USAGE | 5 | 4% | MySQL COBOL PostgreSQL MariaDB Transact-SQL |
SYSTEM | 5 | 4% | MySQL PostgreSQL Transact-SQL Apex Amazon Redshift |
REPLACE | 5 | 4% | MySQL COBOL PostgreSQL SQLite MariaDB |
RELEASE | 5 | 4% | MySQL COBOL SQLite MariaDB Transact-SQL |
LOOP | 5 | 4% | MySQL Modula-3 QBasic MariaDB Apex |
LOCK | 5 | 4% | MySQL COBOL PostgreSQL Modula-3 MariaDB |
LOCALTIMESTAMP | 5 | 4% | MySQL PostgreSQL MariaDB Transact-SQL Amazon Redshift |
LOCALTIME | 5 | 4% | MySQL PostgreSQL MariaDB Transact-SQL Amazon Redshift |
EACH | 5 | 4% | MySQL PostgreSQL SQLite MariaDB Transact-SQL |
DATABASE | 5 | 4% | MySQL PostgreSQL SQLite MariaDB Transact-SQL |
BLOB | 5 | 4% | MySQL PostgreSQL MariaDB Transact-SQL Apex |
ANALYZE | 5 | 4% | MySQL PostgreSQL SQLite MariaDB Amazon Redshift |
USE | 5 | 4% | Fortran MySQL COBOL MariaDB Transact-SQL |
TYPE | 5 | 4% | Fortran COBOL PostgreSQL Modula-3 Apex |
PRECISION | 5 | 4% | Fortran MySQL PostgreSQL MariaDB Transact-SQL |
DO | 5 | 4% | Fortran PostgreSQL Modula-3 Apex Amazon Redshift |
CONTAINS | 5 | 4% | Fortran COBOL PostgreSQL Transact-SQL Cypher Query Language |
ACTION | 5 | 4% | Fortran PostgreSQL SQLite MariaDB Transact-SQL |
join | 5 | 4% | C# Verilog ABAP SystemVerilog Apex |
dynamic | 5 | 4% | C# Swift Kotlin Dart ABAP |
elsif | 5 | 4% | Perl Ruby Ada Crystal VHDL |
VARCHAR | 5 | 4% | SQL MySQL PostgreSQL MariaDB Transact-SQL |
TRANSACTION | 5 | 4% | SQL PostgreSQL SQLite Transact-SQL Apex |
SQLSTATE | 5 | 4% | SQL MySQL PostgreSQL MariaDB Transact-SQL |
SQL | 5 | 4% | SQL MySQL PostgreSQL MariaDB Transact-SQL |
SMALLINT | 5 | 4% | SQL MySQL PostgreSQL MariaDB Transact-SQL |
SCHEMA | 5 | 4% | SQL MySQL PostgreSQL MariaDB Transact-SQL |
ROLLBACK | 5 | 4% | SQL PostgreSQL SQLite Transact-SQL Apex |
REVOKE | 5 | 4% | SQL MySQL PostgreSQL MariaDB Transact-SQL |
PUBLIC | 5 | 4% | SQL Fortran PostgreSQL Transact-SQL Apex |
OUTPUT | 5 | 4% | SQL COBOL PostgreSQL QBasic Transact-SQL |
OPTION | 5 | 4% | SQL MySQL PostgreSQL MariaDB Transact-SQL |
ONLY | 5 | 4% | SQL Fortran PostgreSQL Transact-SQL Amazon Redshift |
NEXT | 5 | 4% | SQL COBOL PostgreSQL QBasic Transact-SQL |
INTERSECT | 5 | 4% | SQL PostgreSQL SQLite Transact-SQL Amazon Redshift |
INSENSITIVE | 5 | 4% | SQL MySQL PostgreSQL MariaDB Transact-SQL |
INITIALLY | 5 | 4% | SQL PostgreSQL SQLite Transact-SQL Amazon Redshift |
GO | 5 | 4% | SQL Fortran COBOL PostgreSQL Transact-SQL |
GLOBAL | 5 | 4% | SQL COBOL PostgreSQL Transact-SQL Apex |
GET | 5 | 4% | SQL MySQL PostgreSQL Transact-SQL Apex |
FULL | 5 | 4% | SQL PostgreSQL SQLite Transact-SQL Amazon Redshift |
FETCH | 5 | 4% | SQL MySQL PostgreSQL MariaDB Transact-SQL |
EXTERNAL | 5 | 4% | SQL Fortran COBOL PostgreSQL Transact-SQL |
EXPLAIN | 5 | 4% | SQL MySQL PostgreSQL SQLite MariaDB |
DESCRIBE | 5 | 4% | SQL MySQL PostgreSQL MariaDB Transact-SQL |
DEFERRABLE | 5 | 4% | SQL PostgreSQL SQLite Transact-SQL Amazon Redshift |
DECLARE | 5 | 4% | SQL MySQL PostgreSQL MariaDB Transact-SQL |
DEC | 5 | 4% | SQL MySQL PostgreSQL MariaDB Transact-SQL |
CURSOR | 5 | 4% | SQL MySQL PostgreSQL MariaDB Transact-SQL |
CONVERT | 5 | 4% | SQL MySQL PostgreSQL MariaDB Transact-SQL |
COMMIT | 5 | 4% | SQL PostgreSQL SQLite Transact-SQL Apex |
CLOSE | 5 | 4% | SQL Fortran COBOL PostgreSQL Transact-SQL |
BOOLEAN | 5 | 4% | SQL PostgreSQL Transact-SQL Apex DAX |
AT | 5 | 4% | SQL COBOL PostgreSQL Transact-SQL DAX |
except | 5 | 4% | Python ABAP Felix Eclipse Command Language nimrod |
synchronized | 5 | 4% | Java JavaScript C++ Ada Apex |
4 | 4% | HCL HCL HCL HCL | |
step | 4 | 4% | AutoIt Maxima Aith D++ |
skip | 4 | 4% | ABAP Eclipse Command Language Streem Ligo |
number | 4 | 4% | ABAP HCL Apex Ham |
min | 4 | 4% | ABAP Eclipse Command Language GorillaScript Freefem |
max | 4 | 4% | ABAP Protocol Buffers GorillaScript Freefem |
code | 4 | 4% | ABAP Clean Clean Felix |
otherwise | 4 | 4% | Chapel Dylan Clean PowerQuery M |
each | 4 | 4% | Pug Violent ES PowerQuery M Sibilant |
shared | 4 | 4% | VHDL ABAP Eclipse Command Language PowerQuery M |
mixin | 4 | 4% | F# Pug Angelscript nimrod |
inherit | 4 | 4% | Reason F# OCaml Felix |
functor | 4 | 4% | Reason F# OCaml Felix |
constraint | 4 | 4% | Reason F# OCaml SystemVerilog |
wait | 4 | 4% | Verilog VHDL ABAP SystemVerilog |
output | 4 | 4% | Verilog ABAP SystemVerilog Bicep |
input | 4 | 4% | Verilog GraphQL ABAP SystemVerilog |
generate | 4 | 4% | Verilog VHDL ABAP SystemVerilog |
endcase | 4 | 4% | Verilog ABAP SystemVerilog Felix |
assign | 4 | 4% | Verilog ABAP SystemVerilog Sibilant |
append | 4 | 4% | Scheme Pug ABAP Freefem |
cond | 4 | 4% | Erlang Scheme ABAP Slope |
While | 4 | 4% | Visual Basic Visual Basic .NET Apex SpiderBasic |
String | 4 | 4% | Visual Basic Visual Basic .NET Ligo Sophia |
Static | 4 | 4% | Visual Basic Visual Basic .NET Apex SpiderBasic |
Set | 4 | 4% | Visual Basic Visual Basic .NET Apex Ligo |
Select | 4 | 4% | Visual Basic Visual Basic .NET Apex SpiderBasic |
Protected | 4 | 4% | Visual Basic Visual Basic .NET Apex SpiderBasic |
Or | 4 | 4% | Visual Basic Visual Basic .NET Apex SpiderBasic |
Not | 4 | 4% | Visual Basic Visual Basic .NET Apex SpiderBasic |
New | 4 | 4% | Visual Basic Visual Basic .NET Visual Basic .NET Apex |
Module | 4 | 4% | Visual Basic Visual Basic .NET Visual Basic .NET SpiderBasic |
Interface | 4 | 4% | Visual Basic Visual Basic .NET Apex SpiderBasic |
Implements | 4 | 4% | Visual Basic Visual Basic .NET Visual Basic .NET Apex |
Global | 4 | 4% | Visual Basic Visual Basic .NET Apex SpiderBasic |
Else | 4 | 4% | Visual Basic Visual Basic .NET Apex SpiderBasic |
Default | 4 | 4% | Visual Basic Visual Basic .NET Apex SpiderBasic |
Continue | 4 | 4% | Visual Basic Visual Basic .NET Apex SpiderBasic |
Case | 4 | 4% | Visual Basic Visual Basic .NET Apex SpiderBasic |
And | 4 | 4% | Visual Basic Visual Basic .NET Apex SpiderBasic |
atomic | 4 | 4% | Objective-C F# Chapel X10 |
infixr | 4 | 4% | Haskell Clean ATS Koka |
infixl | 4 | 4% | Haskell Clean ATS Koka |
integer | 4 | 4% | Pascal SystemVerilog Aith Egison |
process | 4 | 4% | PowerShell F# VHDL ABAP |
define | 4 | 4% | PowerShell ABAP Dylan Slope |
; | 4 | 4% | Kotlin Haskell HOPE Angelscript |
:: | 4 | 4% | Kotlin Haskell HOPE Angelscript |
<= | 4 | 4% | Kotlin Pascal HOPE Angelscript |
/ | 4 | 4% | Kotlin Pascal Visual Basic .NET Angelscript |
inner | 4 | 4% | Kotlin ABAP Apex Eclipse Command Language |
expect | 4 | 4% | Kotlin SystemVerilog Speedie Felix |
param | 4 | 4% | Kotlin PowerShell Chapel Bicep |
WITHOUT | 4 | 4% | PostgreSQL SQLite Transact-SQL Amazon Redshift |
TIMESTAMP | 4 | 4% | PostgreSQL MariaDB Transact-SQL Amazon Redshift |
SAVEPOINT | 4 | 4% | PostgreSQL SQLite Transact-SQL Apex |
NEW | 4 | 4% | PostgreSQL Transact-SQL Apex Amazon Redshift |
pure | 4 | 4% | Rust F# VHDL SystemVerilog |
proc | 4 | 4% | Rust Haskell Chapel nimrod |
move | 4 | 4% | Rust MIPS architecture ABAP SPARQL |
fn | 4 | 4% | Rust Elixir ATS Jule |
task | 4 | 4% | Ada Verilog ABAP SystemVerilog |
init | 4 | 4% | Swift Kotlin ABAP Jisp |
VALUE | 4 | 4% | COBOL PostgreSQL Modula-3 Transact-SQL |
UNTIL | 4 | 4% | COBOL PostgreSQL Modula-3 QBasic |
TIME | 4 | 4% | COBOL PostgreSQL MariaDB Transact-SQL |
START | 4 | 4% | COBOL PostgreSQL Transact-SQL DAX |
SEARCH | 4 | 4% | COBOL PostgreSQL Transact-SQL Apex |
MERGE | 4 | 4% | COBOL Transact-SQL Apex Cypher Query Language |
CLASS | 4 | 4% | COBOL PostgreSQL Transact-SQL Apex |
AFTER | 4 | 4% | COBOL PostgreSQL SQLite Transact-SQL |
range | 4 | 4% | Go Ada VHDL ABAP |
SQLWARNING | 4 | 4% | MySQL PostgreSQL MariaDB Transact-SQL |
SQLEXCEPTION | 4 | 4% | MySQL PostgreSQL MariaDB Transact-SQL |
SPECIFIC | 4 | 4% | MySQL PostgreSQL MariaDB Transact-SQL |
SENSITIVE | 4 | 4% | MySQL PostgreSQL MariaDB Transact-SQL |
ROW | 4 | 4% | MySQL PostgreSQL SQLite Transact-SQL |
RENAME | 4 | 4% | MySQL PostgreSQL SQLite MariaDB |
READS | 4 | 4% | MySQL PostgreSQL MariaDB Transact-SQL |
PARTITION | 4 | 4% | MySQL MariaDB Transact-SQL Amazon Redshift |
MODIFIES | 4 | 4% | MySQL PostgreSQL MariaDB Transact-SQL |
LONG | 4 | 4% | MySQL QBasic MariaDB Apex |
LOAD | 4 | 4% | MySQL PostgreSQL MariaDB Transact-SQL |
ITERATE | 4 | 4% | MySQL PostgreSQL MariaDB Transact-SQL |
INTERVAL | 4 | 4% | MySQL PostgreSQL MariaDB Transact-SQL |
DETERMINISTIC | 4 | 4% | MySQL PostgreSQL MariaDB Transact-SQL |
ASENSITIVE | 4 | 4% | MySQL PostgreSQL MariaDB Transact-SQL |
SIZE | 4 | 4% | Fortran COBOL PostgreSQL Transact-SQL |
SEQUENCE | 4 | 4% | Fortran COBOL PostgreSQL Transact-SQL |
POSITION | 4 | 4% | Fortran COBOL PostgreSQL Transact-SQL |
NUMBER | 4 | 4% | Fortran COBOL PostgreSQL Apex |
MODULE | 4 | 4% | Fortran PostgreSQL Modula-3 Transact-SQL |
FILE | 4 | 4% | Fortran COBOL Transact-SQL Freefem |
DATA | 4 | 4% | Fortran COBOL PostgreSQL Transact-SQL |
ACCESS | 4 | 4% | Fortran COBOL PostgreSQL QBasic |
unsafe | 4 | 4% | C# Rust Jule Flow9 |
sealed | 4 | 4% | C# Scala Kotlin F# |
into | 4 | 4% | C# ABAP Apex Lexon |
fixed | 4 | 4% | C# Solidity F# Freefem |
base | 4 | 4% | C# F# ABAP SPARQL |
add | 4 | 4% | C# MIPS architecture ABAP SPARQL |
require | 4 | 4% | PHP Crystal Speedie Flow9 |
asm | 4 | 4% | C++ Crystal Speedie nimrod |
VIEW | 4 | 4% | SQL PostgreSQL SQLite Transact-SQL |
USER | 4 | 4% | SQL PostgreSQL Transact-SQL Amazon Redshift |
TEMPORARY | 4 | 4% | SQL PostgreSQL SQLite Transact-SQL |
SUM | 4 | 4% | SQL COBOL PostgreSQL Transact-SQL |
SPACE | 4 | 4% | SQL COBOL PostgreSQL Transact-SQL |
SOME | 4 | 4% | SQL PostgreSQL Transact-SQL Amazon Redshift |
SESSION_USER | 4 | 4% | SQL PostgreSQL Transact-SQL Amazon Redshift |
ROWS | 4 | 4% | SQL MySQL PostgreSQL Transact-SQL |
RELATIVE | 4 | 4% | SQL COBOL PostgreSQL Transact-SQL |
PAD | 4 | 4% | SQL Fortran PostgreSQL Transact-SQL |
OVERLAPS | 4 | 4% | SQL PostgreSQL Transact-SQL Amazon Redshift |
LAST | 4 | 4% | SQL COBOL PostgreSQL Transact-SQL |
INPUT | 4 | 4% | SQL COBOL PostgreSQL Transact-SQL |
IMMEDIATE | 4 | 4% | SQL PostgreSQL SQLite Transact-SQL |
IDENTITY | 4 | 4% | SQL PostgreSQL Transact-SQL Amazon Redshift |
GOTO | 4 | 4% | SQL PostgreSQL Transact-SQL Apex |
FIRST | 4 | 4% | SQL COBOL PostgreSQL Transact-SQL |
ESCAPE | 4 | 4% | SQL PostgreSQL SQLite Transact-SQL |
DEFERRED | 4 | 4% | SQL PostgreSQL SQLite Transact-SQL |
DEALLOCATE | 4 | 4% | SQL Fortran PostgreSQL Transact-SQL |
COUNT | 4 | 4% | SQL COBOL PostgreSQL Transact-SQL |
CORRESPONDING | 4 | 4% | SQL COBOL PostgreSQL Transact-SQL |
BIT | 4 | 4% | SQL PostgreSQL MariaDB Transact-SQL |
AUTHORIZATION | 4 | 4% | SQL PostgreSQL Transact-SQL Amazon Redshift |
ARE | 4 | 4% | SQL COBOL PostgreSQL Transact-SQL |
ALLOCATE | 4 | 4% | SQL Fortran PostgreSQL Transact-SQL |
register | 4 | 4% | C C++ Objective-C VHDL |
True | 4 | 4% | Python Visual Basic Visual Basic .NET Apex |
False | 4 | 4% | Python Visual Basic Visual Basic .NET Apex |
debugger | 4 | 4% | JavaScript CoffeeScript Mond JS++ |
await | 4 | 4% | JavaScript C# Dart Violent ES |
_ | 4 | 4% | Java Kotlin Chapel Felix |
boolean | 4 | 4% | Java JavaScript Pascal Apex |
Map | 3 | 3% | Apex Ligo SpiderBasic |
List | 3 | 3% | Apex Ligo SpiderBasic |
embed | 3 | 3% | Twig Eclipse Command Language Violent ES |
apply | 3 | 3% | Twig Slope Q# |
within | 3 | 3% | SystemVerilog Eclipse Command Language Q# |
limit | 3 | 3% | SPARQL Apex Eclipse Command Language |
service | 3 | 3% | Protocol Buffers SPARQL Eclipse Command Language |
error | 3 | 3% | ABAP Speedie PowerQuery M |
update | 3 | 3% | ABAP Apex Eclipse Command Language |
unique | 3 | 3% | ABAP SystemVerilog Aith |
syntax | 3 | 3% | ABAP Protocol Buffers Speedie |
outer | 3 | 3% | ABAP Apex Eclipse Command Language |
option | 3 | 3% | ABAP Protocol Buffers Sophia |
last | 3 | 3% | ABAP Eclipse Command Language Jisp |
insert | 3 | 3% | ABAP SPARQL Apex |
having | 3 | 3% | ABAP SPARQL Apex |
flush | 3 | 3% | ABAP Twig Freefem |
fail | 3 | 3% | ABAP Eclipse Command Language Q# |
exists | 3 | 3% | ABAP F* Slope |
distinct | 3 | 3% | ABAP SPARQL nimrod |
create | 3 | 3% | ABAP Dylan SPARQL |
copy | 3 | 3% | ABAP SPARQL Aith |
before | 3 | 3% | ABAP SystemVerilog Eclipse Command Language |
scan | 3 | 3% | Chapel ABAP Eclipse Command Language |
nor | 3 | 3% | VHDL MIPS architecture SystemVerilog |
label | 3 | 3% | VHDL Chapel Freefem |
component | 3 | 3% | F# VHDL ABAP |
isnt | 3 | 3% | CoffeeScript GorillaScript Ham |
sig | 3 | 3% | Reason F# OCaml |
table | 3 | 3% | Verilog ABAP SystemVerilog |
parameter | 3 | 3% | Verilog ABAP SystemVerilog |
initial | 3 | 3% | Verilog ABAP SystemVerilog |
fork | 3 | 3% | Verilog SystemVerilog Felix |
endmodule | 3 | 3% | Verilog ABAP SystemVerilog |
endfunction | 3 | 3% | Verilog ABAP SystemVerilog |
config | 3 | 3% | Verilog Chapel SystemVerilog |
returns | 3 | 3% | Solidity ABAP Protocol Buffers |
uint64 | 3 | 3% | Solidity Angelscript orange |
uint16 | 3 | 3% | Solidity Angelscript orange |
uint8 | 3 | 3% | Solidity Angelscript orange |
int64 | 3 | 3% | Solidity Angelscript orange |
int32 | 3 | 3% | Solidity Angelscript orange |
int16 | 3 | 3% | Solidity Angelscript orange |
int8 | 3 | 3% | Solidity Angelscript orange |
With | 3 | 3% | Visual Basic Visual Basic .NET SpiderBasic |
When | 3 | 3% | Visual Basic Visual Basic .NET Apex |
Wend | 3 | 3% | Visual Basic Visual Basic .NET SpiderBasic |
Using | 3 | 3% | Visual Basic Visual Basic .NET Apex |
TypeOf | 3 | 3% | Visual Basic Visual Basic .NET SpiderBasic |
Try | 3 | 3% | Visual Basic Visual Basic .NET Apex |
To | 3 | 3% | Visual Basic Visual Basic .NET SpiderBasic |
Throw | 3 | 3% | Visual Basic Visual Basic .NET Apex |
Then | 3 | 3% | Visual Basic Visual Basic .NET Apex |
Step | 3 | 3% | Visual Basic Visual Basic .NET SpiderBasic |
Short | 3 | 3% | Visual Basic Visual Basic .NET Apex |
Shared | 3 | 3% | Visual Basic Visual Basic .NET SpiderBasic |
Return | 3 | 3% | Visual Basic Visual Basic .NET Apex |
ReDim | 3 | 3% | Visual Basic Visual Basic .NET SpiderBasic |
Public | 3 | 3% | Visual Basic Visual Basic .NET Apex |
Private | 3 | 3% | Visual Basic Visual Basic .NET Apex |
Operator | 3 | 3% | Visual Basic Visual Basic .NET Visual Basic .NET |
On | 3 | 3% | Visual Basic Visual Basic .NET Apex |
Of | 3 | 3% | Visual Basic Visual Basic .NET Apex |
Object | 3 | 3% | Visual Basic Visual Basic .NET Apex |
Next | 3 | 3% | Visual Basic Visual Basic .NET SpiderBasic |
Loop | 3 | 3% | Visual Basic Visual Basic .NET Apex |
Long | 3 | 3% | Visual Basic Visual Basic .NET Apex |
Like | 3 | 3% | Visual Basic Visual Basic .NET Apex |
In | 3 | 3% | Visual Basic Visual Basic .NET Apex |
If | 3 | 3% | Visual Basic Visual Basic .NET Apex |
Get | 3 | 3% | Visual Basic Visual Basic .NET Apex |
Finally | 3 | 3% | Visual Basic Visual Basic .NET Apex |
Exit | 3 | 3% | Visual Basic Visual Basic .NET Apex |
Enum | 3 | 3% | Visual Basic Visual Basic .NET Apex |
EndIf | 3 | 3% | Visual Basic Visual Basic .NET SpiderBasic |
ElseIf | 3 | 3% | Visual Basic Visual Basic .NET SpiderBasic |
Double | 3 | 3% | Visual Basic Visual Basic .NET Apex |
Do | 3 | 3% | Visual Basic Visual Basic .NET Apex |
Dim | 3 | 3% | Visual Basic Visual Basic .NET SpiderBasic |
Declare | 3 | 3% | Visual Basic Visual Basic .NET SpiderBasic |
Decimal | 3 | 3% | Visual Basic Visual Basic .NET Apex |
Const | 3 | 3% | Visual Basic Visual Basic .NET Apex |
Char | 3 | 3% | Visual Basic Visual Basic .NET Apex |
Catch | 3 | 3% | Visual Basic Visual Basic .NET Apex |
Call | 3 | 3% | Visual Basic Visual Basic .NET Sophia |
Byte | 3 | 3% | Visual Basic Solidity Visual Basic .NET |
Boolean | 3 | 3% | Visual Basic Visual Basic .NET Apex |
As | 3 | 3% | Visual Basic Visual Basic .NET Apex |
quote | 3 | 3% | Julia Scheme Eclipse Command Language |
, | 3 | 3% | Haskell HOPE Angelscript |
word | 3 | 3% | Pascal ABAP Aith |
single | 3 | 3% | Pascal Chapel ABAP |
parallel | 3 | 3% | PowerShell F# Apex |
filter | 3 | 3% | PowerShell ABAP SPARQL |
? | 3 | 3% | Kotlin Haskell Angelscript |
: | 3 | 3% | Kotlin HOPE Angelscript |
>= | 3 | 3% | Kotlin Pascal Angelscript |
< | 3 | 3% | Kotlin Pascal Angelscript |
== | 3 | 3% | Kotlin HOPE Angelscript |
! | 3 | 3% | Kotlin Haskell Angelscript |
++ | 3 | 3% | Kotlin HOPE Angelscript |
/= | 3 | 3% | Kotlin Visual Basic .NET Angelscript |
*= | 3 | 3% | Kotlin Visual Basic .NET Angelscript |
-= | 3 | 3% | Kotlin Visual Basic .NET Angelscript |
+= | 3 | 3% | Kotlin Visual Basic .NET Angelscript |
file | 3 | 3% | Kotlin VHDL ABAP |
field | 3 | 3% | Kotlin Kotlin ABAP |
constructor | 3 | 3% | Kotlin Solidity F# |
STATIC | 3 | 3% | PostgreSQL Transact-SQL Apex |
SIMILAR | 3 | 3% | PostgreSQL Transact-SQL Amazon Redshift |
REF | 3 | 3% | PostgreSQL Modula-3 Transact-SQL |
OLD | 3 | 3% | PostgreSQL Transact-SQL Amazon Redshift |
OFFSET | 3 | 3% | PostgreSQL SQLite Amazon Redshift |
NOTNULL | 3 | 3% | PostgreSQL SQLite Amazon Redshift |
MAP | 3 | 3% | PostgreSQL Transact-SQL Apex |
LOCAL | 3 | 3% | PostgreSQL QBasic Transact-SQL |
LANGUAGE | 3 | 3% | PostgreSQL Transact-SQL Amazon Redshift |
ISNULL | 3 | 3% | PostgreSQL SQLite Amazon Redshift |
GENERAL | 3 | 3% | PostgreSQL MariaDB Transact-SQL |
DATE | 3 | 3% | PostgreSQL MariaDB Transact-SQL |
ALIAS | 3 | 3% | PostgreSQL QBasic Transact-SQL |
pub | 3 | 3% | Rust Reason Jule |
rem | 3 | 3% | Ada Erlang VHDL |
constant | 3 | 3% | Ada Solidity VHDL |
procedure | 3 | 3% | Ada VHDL ABAP |
body | 3 | 3% | Ada VHDL Q# |
pragma | 3 | 3% | Ada Solidity Apex |
generic | 3 | 3% | Ada VHDL Clean |
at | 3 | 3% | Ada ABAP X10 |
array | 3 | 3% | Ada VHDL Apex |
others | 3 | 3% | Ada VHDL ABAP |
access | 3 | 3% | Ada VHDL ABAP |
abs | 3 | 3% | Ada VHDL Ligo |
weak | 3 | 3% | Swift Protocol Buffers SystemVerilog |
right | 3 | 3% | Swift ABAP Eclipse Command Language |
prefix | 3 | 3% | Swift SPARQL ATS |
left | 3 | 3% | Swift ABAP Eclipse Command Language |
typealias | 3 | 3% | Swift Kotlin Julia |
TOP | 3 | 3% | COBOL Transact-SQL Amazon Redshift |
THAN | 3 | 3% | COBOL PostgreSQL Transact-SQL |
TERMINATE | 3 | 3% | COBOL PostgreSQL Transact-SQL |
STRING | 3 | 3% | COBOL QBasic DAX |
SECTION | 3 | 3% | COBOL PostgreSQL Transact-SQL |
NULLS | 3 | 3% | COBOL Apex Amazon Redshift |
METHOD | 3 | 3% | COBOL PostgreSQL Transact-SQL |
LESS | 3 | 3% | COBOL PostgreSQL Transact-SQL |
INITIALIZE | 3 | 3% | COBOL PostgreSQL Transact-SQL |
FINAL | 3 | 3% | COBOL PostgreSQL Apex |
EVERY | 3 | 3% | COBOL PostgreSQL Transact-SQL |
DYNAMIC | 3 | 3% | COBOL PostgreSQL Transact-SQL |
DAY | 3 | 3% | COBOL PostgreSQL Transact-SQL |
defer | 3 | 3% | Go Swift nimrod |
XOR | 3 | 3% | MySQL MariaDB Cypher Query Language |
VIRTUAL | 3 | 3% | MySQL SQLite Apex |
SIGNAL | 3 | 3% | MySQL QBasic MariaDB |
SHOW | 3 | 3% | MySQL PostgreSQL MariaDB |
REPEAT | 3 | 3% | MySQL Modula-3 MariaDB |
REGEXP | 3 | 3% | MySQL SQLite MariaDB |
RANGE | 3 | 3% | MySQL MariaDB Transact-SQL |
PURGE | 3 | 3% | MySQL COBOL MariaDB |
MAXVALUE | 3 | 3% | MySQL PostgreSQL MariaDB |
LINES | 3 | 3% | MySQL COBOL MariaDB |
LATERAL | 3 | 3% | MySQL PostgreSQL Transact-SQL |
KILL | 3 | 3% | MySQL MariaDB Transact-SQL |
GROUPING | 3 | 3% | MySQL PostgreSQL Transact-SQL |
FORCE | 3 | 3% | MySQL PostgreSQL MariaDB |
ELSEIF | 3 | 3% | MySQL QBasic MariaDB |
DIV | 3 | 3% | MySQL Modula-3 MariaDB |
CUBE | 3 | 3% | MySQL PostgreSQL Transact-SQL |
CONDITION | 3 | 3% | MySQL MariaDB Transact-SQL |
BIGINT | 3 | 3% | MySQL PostgreSQL MariaDB |
RESULT | 3 | 3% | Fortran PostgreSQL Transact-SQL |
PARAMETER | 3 | 3% | Fortran PostgreSQL Transact-SQL |
OPTIONAL | 3 | 3% | Fortran COBOL Cypher Query Language |
NONE | 3 | 3% | Fortran PostgreSQL Transact-SQL |
INTERFACE | 3 | 3% | Fortran Modula-3 Apex |
CYCLE | 3 | 3% | Fortran PostgreSQL Transact-SQL |
value | 3 | 3% | C# OCaml ABAP |
implicit | 3 | 3% | C# Scala ABAP |
delegate | 3 | 3% | C# Kotlin F# |
async | 3 | 3% | C# Dart X10 |
retry | 3 | 3% | Ruby ABAP Eclipse Command Language |
rescue | 3 | 3% | Ruby Elixir Crystal |
no | 3 | 3% | Perl CoffeeScript ABAP |
lock | 3 | 3% | Perl C# ABAP |
endfor | 3 | 3% | PHP HCL Twig |
declare | 3 | 3% | PHP Ada vdscript |
__LINE__ | 3 | 3% | PHP Perl Ruby |
__FILE__ | 3 | 3% | PHP Perl Ruby |
template | 3 | 3% | C++ nimrod RigC |
explicit | 3 | 3% | C++ C# ABAP |
#line | 3 | 3% | C++ Swift Swift |
YEAR | 3 | 3% | SQL PostgreSQL Transact-SQL |
WORK | 3 | 3% | SQL PostgreSQL Transact-SQL |
WHENEVER | 3 | 3% | SQL PostgreSQL Transact-SQL |
UPPER | 3 | 3% | SQL PostgreSQL Transact-SQL |
UNKNOWN | 3 | 3% | SQL PostgreSQL Transact-SQL |
TRANSLATION | 3 | 3% | SQL PostgreSQL Transact-SQL |
TRANSLATE | 3 | 3% | SQL PostgreSQL Transact-SQL |
TIMEZONE_MINUTE | 3 | 3% | SQL PostgreSQL Transact-SQL |
TIMEZONE_HOUR | 3 | 3% | SQL PostgreSQL Transact-SQL |
SYSTEM_USER | 3 | 3% | SQL PostgreSQL Transact-SQL |
SUBSTRING | 3 | 3% | SQL PostgreSQL Transact-SQL |
SQLERROR | 3 | 3% | SQL PostgreSQL Transact-SQL |
SQLCODE | 3 | 3% | SQL PostgreSQL Transact-SQL |
SECOND | 3 | 3% | SQL PostgreSQL Transact-SQL |
SCROLL | 3 | 3% | SQL PostgreSQL Transact-SQL |
PRIVILEGES | 3 | 3% | SQL PostgreSQL Transact-SQL |
PRIOR | 3 | 3% | SQL PostgreSQL Transact-SQL |
PRESERVE | 3 | 3% | SQL PostgreSQL Transact-SQL |
PREPARE | 3 | 3% | SQL PostgreSQL Transact-SQL |
PARTIAL | 3 | 3% | SQL PostgreSQL Transact-SQL |
NULLIF | 3 | 3% | SQL PostgreSQL Transact-SQL |
NCHAR | 3 | 3% | SQL PostgreSQL Transact-SQL |
NATIONAL | 3 | 3% | SQL PostgreSQL Transact-SQL |
MINUTE | 3 | 3% | SQL PostgreSQL Transact-SQL |
MIN | 3 | 3% | SQL PostgreSQL Transact-SQL |
MAX | 3 | 3% | SQL PostgreSQL Transact-SQL |
LOWER | 3 | 3% | SQL PostgreSQL Transact-SQL |
ISOLATION | 3 | 3% | SQL PostgreSQL Transact-SQL |
INDICATOR | 3 | 3% | SQL PostgreSQL Transact-SQL |
HOUR | 3 | 3% | SQL PostgreSQL Transact-SQL |
FOUND | 3 | 3% | SQL PostgreSQL Transact-SQL |
EXECUTE | 3 | 3% | SQL PostgreSQL Transact-SQL |
EXEC | 3 | 3% | SQL PostgreSQL Transact-SQL |
DISCONNECT | 3 | 3% | SQL PostgreSQL Transact-SQL |
DIAGNOSTICS | 3 | 3% | SQL PostgreSQL Transact-SQL |
CURRENT | 3 | 3% | SQL PostgreSQL Transact-SQL |
CONSTRAINTS | 3 | 3% | SQL PostgreSQL Transact-SQL |
CONNECTION | 3 | 3% | SQL PostgreSQL Transact-SQL |
CONNECT | 3 | 3% | SQL PostgreSQL Transact-SQL |
COLLATION | 3 | 3% | SQL PostgreSQL Transact-SQL |
CASCADED | 3 | 3% | SQL PostgreSQL Transact-SQL |
AVG | 3 | 3% | SQL PostgreSQL Transact-SQL |
ASSERTION | 3 | 3% | SQL PostgreSQL Transact-SQL |
eval | 3 | 3% | JavaScript Scheme Slope |
transient | 3 | 3% | Java JavaScript Apex |
tuple | 2 | 2% | Sophia nimrod |
Crypto | 2 | 2% | Ligo Sophia |
Bytes | 2 | 2% | Ligo Sophia |
operation | 2 | 2% | Q# Ligo |
meta | 2 | 2% | Violent ES PowerQuery M |
pipe | 2 | 2% | Eclipse Command Language Sibilant |
overwrite | 2 | 2% | Eclipse Command Language JS++ |
skew | 2 | 2% | Eclipse Command Language Eclipse Command Language |
PARALLEL | 2 | 2% | Apex Amazon Redshift |
Import | 2 | 2% | Apex SpiderBasic |
Extends | 2 | 2% | Apex SpiderBasic |
Break | 2 | 2% | Apex SpiderBasic |
Array | 2 | 2% | Apex SpiderBasic |
region | 2 | 2% | Aith Freefem |
borrow | 2 | 2% | Aith Q# |
ret | 2 | 2% | Jule RigC |
f64 | 2 | 2% | Jule BARE |
f32 | 2 | 2% | Jule BARE |
u64 | 2 | 2% | Jule BARE |
u32 | 2 | 2% | Jule BARE |
u16 | 2 | 2% | Jule BARE |
u8 | 2 | 2% | Jule BARE |
i64 | 2 | 2% | Jule BARE |
i32 | 2 | 2% | Jule BARE |
i16 | 2 | 2% | Jule BARE |
i8 | 2 | 2% | Jule BARE |
EndMacro | 2 | 2% | IGOR Pro SpiderBasic |
EndStructure | 2 | 2% | IGOR Pro SpiderBasic |
) | 2 | 2% | HOPE Angelscript |
( | 2 | 2% | HOPE Angelscript |
WITHIN | 2 | 2% | Transact-SQL Transact-SQL |
RESTORE | 2 | 2% | Transact-SQL Amazon Redshift |
PERCENT | 2 | 2% | Transact-SQL Amazon Redshift |
COLLECT | 2 | 2% | Transact-SQL Apex |
BULK | 2 | 2% | Transact-SQL Apex |
BREAK | 2 | 2% | Transact-SQL Apex |
BACKUP | 2 | 2% | Transact-SQL Amazon Redshift |
endmacro | 2 | 2% | Twig Eclipse Command Language |
endembed | 2 | 2% | Twig Eclipse Command Language |
resource | 2 | 2% | Bicep Violent ES |
datatype | 2 | 2% | ATS Sophia |
ENUM | 2 | 2% | MariaDB Apex |
packed | 2 | 2% | SystemVerilog Eclipse Command Language |
bind | 2 | 2% | SystemVerilog nimrod |
assume | 2 | 2% | SystemVerilog ATS |
named | 2 | 2% | SPARQL Eclipse Command Language |
desc | 2 | 2% | SPARQL Apex |
asc | 2 | 2% | SPARQL Apex |
opaque | 2 | 2% | F* Aith |
logic | 2 | 2% | F* SystemVerilog |
LIST | 2 | 2% | QBasic Apex |
rename | 2 | 2% | Dylan Felix |
verbatim | 2 | 2% | ABAP Twig |
uuid | 2 | 2% | ABAP Functional PHP Preprocessor |
total | 2 | 2% | ABAP F* |
partition | 2 | 2% | ABAP Eclipse Command Language |
oracle | 2 | 2% | ABAP Sophia |
nulls | 2 | 2% | ABAP Apex |
multiple | 2 | 2% | ABAP Eclipse Command Language |
many | 2 | 2% | ABAP Eclipse Command Language |
graph | 2 | 2% | ABAP SPARQL |
full | 2 | 2% | ABAP Eclipse Command Language |
cross | 2 | 2% | ABAP SystemVerilog |
bulk | 2 | 2% | ABAP Apex |
xml | 2 | 2% | ABAP Eclipse Command Language |
window | 2 | 2% | ABAP IGOR Pro |
width | 2 | 2% | ABAP Eclipse Command Language |
version | 2 | 2% | ABAP Freefem |
values | 2 | 2% | ABAP SPARQL |
transaction | 2 | 2% | ABAP Apex |
time | 2 | 2% | ABAP SystemVerilog |
sum | 2 | 2% | ABAP Freefem |
state | 2 | 2% | ABAP Sophia |
stable | 2 | 2% | ABAP Eclipse Command Language |
sql | 2 | 2% | ABAP Eclipse Command Language |
source | 2 | 2% | ABAP Ligo |
sort | 2 | 2% | ABAP Apex |
smart | 2 | 2% | ABAP Eclipse Command Language |
size | 2 | 2% | ABAP Aith |
section | 2 | 2% | ABAP PowerQuery M |
search | 2 | 2% | ABAP Apex |
scientific | 2 | 2% | ABAP Freefem |
rows | 2 | 2% | ABAP Eclipse Command Language |
rollback | 2 | 2% | ABAP Apex |
returning | 2 | 2% | ABAP Apex |
reduced | 2 | 2% | ABAP SPARQL |
program | 2 | 2% | ABAP SystemVerilog |
priority | 2 | 2% | ABAP SystemVerilog |
order | 2 | 2% | ABAP SPARQL |
only | 2 | 2% | ABAP Eclipse Command Language |
offset | 2 | 2% | ABAP SPARQL |
message | 2 | 2% | ABAP Protocol Buffers |
medium | 2 | 2% | ABAP SystemVerilog |
locale | 2 | 2% | ABAP Eclipse Command Language |
like | 2 | 2% | ABAP Apex |
length | 2 | 2% | ABAP Freefem |
kind | 2 | 2% | ABAP Aith |
keep | 2 | 2% | ABAP Eclipse Command Language |
hint | 2 | 2% | ABAP Apex |
heading | 2 | 2% | ABAP Eclipse Command Language |
forward | 2 | 2% | ABAP Eclipse Command Language |
first | 2 | 2% | ABAP Eclipse Command Language |
find | 2 | 2% | ABAP Freefem |
exceptions | 2 | 2% | ABAP Felix |
endwith | 2 | 2% | ABAP Twig |
endselect | 2 | 2% | ABAP AutoIt |
endinterface | 2 | 2% | ABAP SystemVerilog |
endclass | 2 | 2% | ABAP SystemVerilog |
encoding | 2 | 2% | ABAP Eclipse Command Language |
describe | 2 | 2% | ABAP SPARQL |
database | 2 | 2% | ABAP Opa |
cover | 2 | 2% | ABAP SystemVerilog |
context | 2 | 2% | ABAP SystemVerilog |
compression | 2 | 2% | ABAP Eclipse Command Language |
commit | 2 | 2% | ABAP Apex |
collect | 2 | 2% | ABAP Apex |
client | 2 | 2% | ABAP Opa |
clear | 2 | 2% | ABAP SPARQL |
cleanup | 2 | 2% | ABAP Dylan |
call | 2 | 2% | ABAP Felix |
boxed | 2 | 2% | ABAP Aith |
blob | 2 | 2% | ABAP Apex |
bit | 2 | 2% | ABAP SystemVerilog |
binary | 2 | 2% | ABAP Freefem |
between | 2 | 2% | ABAP Eclipse Command Language |
attributes | 2 | 2% | ABAP F* |
area | 2 | 2% | ABAP Freefem |
lhi | 2 | 2% | MIPS architecture MIPS architecture |
PRAGMA | 2 | 2% | SQLite Apex |
PLAN | 2 | 2% | SQLite Transact-SQL |
DETACH | 2 | 2% | SQLite Cypher Query Language |
reduce | 2 | 2% | Chapel ABAP |
index | 2 | 2% | Chapel ABAP |
align | 2 | 2% | Chapel ABAP |
<<= | 2 | 2% | Visual Basic .NET Angelscript |
<< | 2 | 2% | Visual Basic .NET Angelscript |
>>= | 2 | 2% | Visual Basic .NET Angelscript |
>> | 2 | 2% | Visual Basic .NET Angelscript |
^= | 2 | 2% | Visual Basic .NET Angelscript |
^ | 2 | 2% | Visual Basic .NET Angelscript |
&= | 2 | 2% | Visual Basic .NET Angelscript |
& | 2 | 2% | Visual Basic .NET Angelscript |
xnor | 2 | 2% | VHDL SystemVerilog |
srl | 2 | 2% | VHDL MIPS architecture |
sra | 2 | 2% | VHDL MIPS architecture |
sll | 2 | 2% | VHDL MIPS architecture |
signal | 2 | 2% | VHDL Dylan |
report | 2 | 2% | VHDL ABAP |
reject | 2 | 2% | VHDL ABAP |
nand | 2 | 2% | VHDL SystemVerilog |
literal | 2 | 2% | VHDL Eclipse Command Language |
entity | 2 | 2% | VHDL ABAP |
disconnect | 2 | 2% | VHDL ABAP |
buffer | 2 | 2% | VHDL ABAP |
schema | 2 | 2% | GraphQL ABAP |
VAR | 2 | 2% | Modula-3 DAX |
TRY | 2 | 2% | Modula-3 Apex |
RAISE | 2 | 2% | Modula-3 SQLite |
IMPORT | 2 | 2% | Modula-3 Apex |
FINALLY | 2 | 2% | Modula-3 Apex |
CONST | 2 | 2% | Modula-3 Apex |
off | 2 | 2% | CoffeeScript ABAP |
yes | 2 | 2% | CoffeeScript ABAP |
initializer | 2 | 2% | Reason OCaml |
wire | 2 | 2% | Verilog SystemVerilog |
vectored | 2 | 2% | Verilog SystemVerilog |
trireg | 2 | 2% | Verilog SystemVerilog |
wor | 2 | 2% | Verilog SystemVerilog |
trior | 2 | 2% | Verilog SystemVerilog |
wand | 2 | 2% | Verilog SystemVerilog |
triand | 2 | 2% | Verilog SystemVerilog |
tri1 | 2 | 2% | Verilog SystemVerilog |
tri0 | 2 | 2% | Verilog SystemVerilog |
tri | 2 | 2% | Verilog SystemVerilog |
specparam | 2 | 2% | Verilog SystemVerilog |
specify | 2 | 2% | Verilog SystemVerilog |
showcancelled | 2 | 2% | Verilog SystemVerilog |
scalared | 2 | 2% | Verilog SystemVerilog |
release | 2 | 2% | Verilog SystemVerilog |
reg | 2 | 2% | Verilog SystemVerilog |
pulsestyle_onevent | 2 | 2% | Verilog SystemVerilog |
pulsestyle_ondetect | 2 | 2% | Verilog SystemVerilog |
primitive | 2 | 2% | Verilog SystemVerilog |
posedge | 2 | 2% | Verilog SystemVerilog |
noshowcancelled | 2 | 2% | Verilog SystemVerilog |
negedge | 2 | 2% | Verilog SystemVerilog |
macromodule | 2 | 2% | Verilog SystemVerilog |
localparam | 2 | 2% | Verilog SystemVerilog |
liblist | 2 | 2% | Verilog SystemVerilog |
incdir | 2 | 2% | Verilog SystemVerilog |
ifnone | 2 | 2% | Verilog SystemVerilog |
genvar | 2 | 2% | Verilog SystemVerilog |
forever | 2 | 2% | Verilog SystemVerilog |
force | 2 | 2% | Verilog SystemVerilog |
endtask | 2 | 2% | Verilog SystemVerilog |
endtable | 2 | 2% | Verilog SystemVerilog |
endspecify | 2 | 2% | Verilog SystemVerilog |
endprimitive | 2 | 2% | Verilog SystemVerilog |
endgenerate | 2 | 2% | Verilog SystemVerilog |
endconfig | 2 | 2% | Verilog SystemVerilog |
edge | 2 | 2% | Verilog SystemVerilog |
disable | 2 | 2% | Verilog SystemVerilog |
design | 2 | 2% | Verilog SystemVerilog |
defparam | 2 | 2% | Verilog SystemVerilog |
deassign | 2 | 2% | Verilog SystemVerilog |
cell | 2 | 2% | Verilog SystemVerilog |
casez | 2 | 2% | Verilog SystemVerilog |
casex | 2 | 2% | Verilog SystemVerilog |
automatic | 2 | 2% | Verilog SystemVerilog |
always | 2 | 2% | Verilog SystemVerilog |
mapping | 2 | 2% | Solidity ABAP |
bytes | 2 | 2% | Solidity Sophia |
uint32 | 2 | 2% | Solidity Angelscript |
Int | 2 | 2% | Solidity Apex |
address | 2 | 2% | Solidity Sophia |
modifier | 2 | 2% | Solidity ABAP |
contract | 2 | 2% | Solidity Sophia |
sync | 2 | 2% | Dart Chapel |
part | 2 | 2% | Dart ABAP |
deferred | 2 | 2% | Dart ABAP |
set! | 2 | 2% | Scheme Slope |
format | 2 | 2% | Scheme ABAP |
cdr | 2 | 2% | Scheme Jisp |
car | 2 | 2% | Scheme Jisp |
receive | 2 | 2% | Erlang ABAP |
Xor | 2 | 2% | Visual Basic Visual Basic .NET |
WriteOnly | 2 | 2% | Visual Basic Visual Basic .NET |
WithEvents | 2 | 2% | Visual Basic Visual Basic .NET |
Widening | 2 | 2% | Visual Basic Visual Basic .NET |
Variant | 2 | 2% | Visual Basic Visual Basic .NET |
UShort | 2 | 2% | Visual Basic Visual Basic .NET |
ULong | 2 | 2% | Visual Basic Visual Basic .NET |
UInteger | 2 | 2% | Visual Basic Visual Basic .NET |
TryCast | 2 | 2% | Visual Basic Visual Basic .NET |
SyncLock | 2 | 2% | Visual Basic Visual Basic .NET |
Sub | 2 | 2% | Visual Basic Visual Basic .NET |
Stop | 2 | 2% | Visual Basic Visual Basic .NET |
Single | 2 | 2% | Visual Basic Visual Basic .NET |
Shadows | 2 | 2% | Visual Basic Visual Basic .NET |
SByte | 2 | 2% | Visual Basic Visual Basic .NET |
Resume | 2 | 2% | Visual Basic Visual Basic .NET |
RemoveHandler | 2 | 2% | Visual Basic Visual Basic .NET |
ReadOnly | 2 | 2% | Visual Basic Visual Basic .NET |
RaiseEvent | 2 | 2% | Visual Basic Visual Basic .NET |
Property | 2 | 2% | Visual Basic Visual Basic .NET |
Partial | 2 | 2% | Visual Basic Visual Basic .NET |
ParamArray | 2 | 2% | Visual Basic Visual Basic .NET |
Overrides | 2 | 2% | Visual Basic Visual Basic .NET |
Overridable | 2 | 2% | Visual Basic Visual Basic .NET |
Overloads | 2 | 2% | Visual Basic Visual Basic .NET |
Out | 2 | 2% | Visual Basic Visual Basic .NET |
OrElse | 2 | 2% | Visual Basic Visual Basic .NET |
Optional | 2 | 2% | Visual Basic Visual Basic .NET |
Option | 2 | 2% | Visual Basic Visual Basic .NET |
NotOverridable | 2 | 2% | Visual Basic Visual Basic .NET |
NotInheritable | 2 | 2% | Visual Basic Visual Basic .NET |
Nothing | 2 | 2% | Visual Basic Visual Basic .NET |
Narrowing | 2 | 2% | Visual Basic Visual Basic .NET |
Namespace | 2 | 2% | Visual Basic Visual Basic .NET |
MyClass | 2 | 2% | Visual Basic Visual Basic .NET |
MyBase | 2 | 2% | Visual Basic Visual Basic .NET |
MustOverride | 2 | 2% | Visual Basic Visual Basic .NET |
MustInherit | 2 | 2% | Visual Basic Visual Basic .NET |
Mod | 2 | 2% | Visual Basic Visual Basic .NET |
Me | 2 | 2% | Visual Basic Visual Basic .NET |
Lib | 2 | 2% | Visual Basic Visual Basic .NET |
Let | 2 | 2% | Visual Basic Visual Basic .NET |
IsNot | 2 | 2% | Visual Basic Visual Basic .NET |
Is | 2 | 2% | Visual Basic Visual Basic .NET |
Integer | 2 | 2% | Visual Basic Visual Basic .NET |
Inherits | 2 | 2% | Visual Basic Visual Basic .NET |
Imports | 2 | 2% | Visual Basic Visual Basic .NET |
Handles | 2 | 2% | Visual Basic Visual Basic .NET |
GoTo | 2 | 2% | Visual Basic Visual Basic .NET |
GoSub | 2 | 2% | Visual Basic Visual Basic .NET |
GetXMLNamespace | 2 | 2% | Visual Basic Visual Basic .NET |
GetType | 2 | 2% | Visual Basic Visual Basic .NET |
Function | 2 | 2% | Visual Basic Visual Basic .NET |
Friend | 2 | 2% | Visual Basic Visual Basic .NET |
Event | 2 | 2% | Visual Basic Visual Basic .NET |
Error | 2 | 2% | Visual Basic Visual Basic .NET |
Erase | 2 | 2% | Visual Basic Visual Basic .NET |
Each | 2 | 2% | Visual Basic Visual Basic .NET |
DirectCast | 2 | 2% | Visual Basic Visual Basic .NET |
Delegate | 2 | 2% | Visual Basic Visual Basic .NET |
Date | 2 | 2% | Visual Basic Visual Basic .NET |
CUShort | 2 | 2% | Visual Basic Visual Basic .NET |
CULng | 2 | 2% | Visual Basic Visual Basic .NET |
CUInt | 2 | 2% | Visual Basic Visual Basic .NET |
CType | 2 | 2% | Visual Basic Visual Basic .NET |
CStr | 2 | 2% | Visual Basic Visual Basic .NET |
CSng | 2 | 2% | Visual Basic Visual Basic .NET |
CShort | 2 | 2% | Visual Basic Visual Basic .NET |
CSByte | 2 | 2% | Visual Basic Visual Basic .NET |
CObj | 2 | 2% | Visual Basic Visual Basic .NET |
CLng | 2 | 2% | Visual Basic Visual Basic .NET |
CInt | 2 | 2% | Visual Basic Visual Basic .NET |
CDec | 2 | 2% | Visual Basic Visual Basic .NET |
CDbl | 2 | 2% | Visual Basic Visual Basic .NET |
CDate | 2 | 2% | Visual Basic Visual Basic .NET |
CChar | 2 | 2% | Visual Basic Visual Basic .NET |
CByte | 2 | 2% | Visual Basic Visual Basic .NET |
CBool | 2 | 2% | Visual Basic Visual Basic .NET |
ByVal | 2 | 2% | Visual Basic Visual Basic .NET |
ByRef | 2 | 2% | Visual Basic Visual Basic .NET |
AndAlso | 2 | 2% | Visual Basic Visual Basic .NET |
Alias | 2 | 2% | Visual Basic Visual Basic .NET |
AddressOf | 2 | 2% | Visual Basic Visual Basic .NET |
AddHandler | 2 | 2% | Visual Basic Visual Basic .NET |
Super | 2 | 2% | Objective-C Apex |
id | 2 | 2% | Objective-C ABAP |
byref | 2 | 2% | Objective-C AutoIt |
restrict | 2 | 2% | Objective-C SystemVerilog |
newtype | 2 | 2% | Haskell Q# |
foreign | 2 | 2% | Haskell Clean |
~ | 2 | 2% | Haskell Angelscript |
| | 2 | 2% | Haskell Angelscript |
} | 2 | 2% | Haskell Angelscript |
\ | 2 | 2% | Haskell Visual Basic .NET |
# | 2 | 2% | Haskell HOPE |
-> | 2 | 2% | Haskell HOPE |
shr | 2 | 2% | Pascal nimrod |
shortint | 2 | 2% | Pascal SystemVerilog |
shl | 2 | 2% | Pascal nimrod |
longint | 2 | 2% | Pascal SystemVerilog |
<> | 2 | 2% | Pascal HOPE |
configuration | 2 | 2% | PowerShell VHDL |
sequence | 2 | 2% | PowerShell SystemVerilog |
trap | 2 | 2% | PowerShell MIPS architecture |
] | 2 | 2% | Kotlin Angelscript |
[ | 2 | 2% | Kotlin Angelscript |
!= | 2 | 2% | Kotlin Angelscript |
|| | 2 | 2% | Kotlin Angelscript |
&& | 2 | 2% | Kotlin Angelscript |
-- | 2 | 2% | Kotlin Angelscript |
%= | 2 | 2% | Kotlin Angelscript |
% | 2 | 2% | Kotlin Angelscript |
actual | 2 | 2% | Kotlin ABAP |
receiver | 2 | 2% | Kotlin ABAP |
!is | 2 | 2% | Kotlin Angelscript |
as? | 2 | 2% | Kotlin Crystal |
ZONE | 2 | 2% | PostgreSQL Transact-SQL |
VOLATILE | 2 | 2% | PostgreSQL Apex |
VERBOSE | 2 | 2% | PostgreSQL Amazon Redshift |
VARIABLE | 2 | 2% | PostgreSQL Transact-SQL |
VACUUM | 2 | 2% | PostgreSQL SQLite |
UNNEST | 2 | 2% | PostgreSQL Transact-SQL |
UNDER | 2 | 2% | PostgreSQL Transact-SQL |
TRUNCATE | 2 | 2% | PostgreSQL Transact-SQL |
TRIM | 2 | 2% | PostgreSQL Transact-SQL |
TREAT | 2 | 2% | PostgreSQL Transact-SQL |
TEMP | 2 | 2% | PostgreSQL SQLite |
SYMMETRIC | 2 | 2% | PostgreSQL Transact-SQL |
STRUCTURE | 2 | 2% | PostgreSQL Transact-SQL |
STATISTICS | 2 | 2% | PostgreSQL Transact-SQL |
STATEMENT | 2 | 2% | PostgreSQL Transact-SQL |
STATE | 2 | 2% | PostgreSQL Transact-SQL |
SPECIFICTYPE | 2 | 2% | PostgreSQL Transact-SQL |
SETS | 2 | 2% | PostgreSQL Transact-SQL |
SESSION | 2 | 2% | PostgreSQL Transact-SQL |
SCOPE | 2 | 2% | PostgreSQL Transact-SQL |
RULE | 2 | 2% | PostgreSQL Transact-SQL |
ROUTINE | 2 | 2% | PostgreSQL Transact-SQL |
ROLLUP | 2 | 2% | PostgreSQL Transact-SQL |
ROLE | 2 | 2% | PostgreSQL Transact-SQL |
RETURNS | 2 | 2% | PostgreSQL Transact-SQL |
REINDEX | 2 | 2% | PostgreSQL SQLite |
REFERENCING | 2 | 2% | PostgreSQL Transact-SQL |
PREORDER | 2 | 2% | PostgreSQL Transact-SQL |
PREFIX | 2 | 2% | PostgreSQL Transact-SQL |
POSTFIX | 2 | 2% | PostgreSQL Transact-SQL |
PLACING | 2 | 2% | PostgreSQL Amazon Redshift |
PATH | 2 | 2% | PostgreSQL Transact-SQL |
PASCAL | 2 | 2% | PostgreSQL Transact-SQL |
PARAMETERS | 2 | 2% | PostgreSQL Transact-SQL |
OVERLAY | 2 | 2% | PostgreSQL Transact-SQL |
ORDINALITY | 2 | 2% | PostgreSQL Transact-SQL |
OPERATION | 2 | 2% | PostgreSQL Transact-SQL |
OCTET_LENGTH | 2 | 2% | PostgreSQL Transact-SQL |
NCLOB | 2 | 2% | PostgreSQL Transact-SQL |
NAMES | 2 | 2% | PostgreSQL Transact-SQL |
MONTH | 2 | 2% | PostgreSQL Transact-SQL |
MODIFY | 2 | 2% | PostgreSQL Transact-SQL |
LOCATOR | 2 | 2% | PostgreSQL Transact-SQL |
LEVEL | 2 | 2% | PostgreSQL Transact-SQL |
LARGE | 2 | 2% | PostgreSQL Transact-SQL |
INSTEAD | 2 | 2% | PostgreSQL SQLite |
ILIKE | 2 | 2% | PostgreSQL Amazon Redshift |
HOST | 2 | 2% | PostgreSQL Transact-SQL |
HOLD | 2 | 2% | PostgreSQL Transact-SQL |
FREEZE | 2 | 2% | PostgreSQL Amazon Redshift |
FREE | 2 | 2% | PostgreSQL Transact-SQL |
FORTRAN | 2 | 2% | PostgreSQL Transact-SQL |
EXTRACT | 2 | 2% | PostgreSQL Transact-SQL |
EXCLUSIVE | 2 | 2% | PostgreSQL SQLite |
EQUALS | 2 | 2% | PostgreSQL Transact-SQL |
END-EXEC | 2 | 2% | PostgreSQL Transact-SQL |
DOMAIN | 2 | 2% | PostgreSQL Transact-SQL |
DICTIONARY | 2 | 2% | PostgreSQL Transact-SQL |
DESTRUCTOR | 2 | 2% | PostgreSQL Transact-SQL |
DESTROY | 2 | 2% | PostgreSQL Transact-SQL |
DESCRIPTOR | 2 | 2% | PostgreSQL Transact-SQL |
DEREF | 2 | 2% | PostgreSQL Transact-SQL |
DEPTH | 2 | 2% | PostgreSQL Transact-SQL |
CURRENT_ROLE | 2 | 2% | PostgreSQL Transact-SQL |
CURRENT_PATH | 2 | 2% | PostgreSQL Transact-SQL |
CONSTRUCTOR | 2 | 2% | PostgreSQL Transact-SQL |
COMPLETION | 2 | 2% | PostgreSQL Transact-SQL |
COALESCE | 2 | 2% | PostgreSQL Transact-SQL |
CLOB | 2 | 2% | PostgreSQL Transact-SQL |
CHECKPOINT | 2 | 2% | PostgreSQL Transact-SQL |
CHAR_LENGTH | 2 | 2% | PostgreSQL Transact-SQL |
CHARACTER_LENGTH | 2 | 2% | PostgreSQL Transact-SQL |
CATALOG | 2 | 2% | PostgreSQL Transact-SQL |
CARDINALITY | 2 | 2% | PostgreSQL Transact-SQL |
CALLED | 2 | 2% | PostgreSQL Transact-SQL |
BREADTH | 2 | 2% | PostgreSQL Transact-SQL |
BIT_LENGTH | 2 | 2% | PostgreSQL Transact-SQL |
ATOMIC | 2 | 2% | PostgreSQL Transact-SQL |
ASYMMETRIC | 2 | 2% | PostgreSQL Transact-SQL |
ANALYSE | 2 | 2% | PostgreSQL Amazon Redshift |
AGGREGATE | 2 | 2% | PostgreSQL Transact-SQL |
ADMIN | 2 | 2% | PostgreSQL Transact-SQL |
ADA | 2 | 2% | PostgreSQL Transact-SQL |
ABSOLUTE | 2 | 2% | PostgreSQL Transact-SQL |
ABORT | 2 | 2% | PostgreSQL SQLite |
mut | 2 | 2% | Rust Jule |
impl | 2 | 2% | Rust Jule |
tagged | 2 | 2% | Ada SystemVerilog |
subtype | 2 | 2% | Ada VHDL |
some | 2 | 2% | Ada ABAP |
separate | 2 | 2% | Ada ABAP |
entry | 2 | 2% | Ada ABAP |
accept | 2 | 2% | Ada ABAP |
Type | 2 | 2% | Swift Apex |
Protocol | 2 | 2% | Swift Objective-C |
postfix | 2 | 2% | Swift ATS |
#function | 2 | 2% | Swift Swift |
#file | 2 | 2% | Swift Swift |
#column | 2 | 2% | Swift Swift |
Self | 2 | 2% | Swift Rust |
extension | 2 | 2% | Swift ABAP |
TEXT | 2 | 2% | COBOL MariaDB |
SYNCHRONIZED | 2 | 2% | COBOL Apex |
SUPER | 2 | 2% | COBOL Apex |
SOURCE | 2 | 2% | COBOL PostgreSQL |
SORT | 2 | 2% | COBOL Apex |
SELF | 2 | 2% | COBOL PostgreSQL |
SECURITY | 2 | 2% | COBOL PostgreSQL |
RETURNING | 2 | 2% | COBOL Apex |
RESET | 2 | 2% | COBOL PostgreSQL |
RECORD | 2 | 2% | COBOL Modula-3 |
RANDOM | 2 | 2% | COBOL QBasic |
PASSWORD | 2 | 2% | COBOL PostgreSQL |
OVERRIDE | 2 | 2% | COBOL Apex |
NATIVE | 2 | 2% | COBOL Apex |
MOVE | 2 | 2% | COBOL PostgreSQL |
MODE | 2 | 2% | COBOL PostgreSQL |
LINE | 2 | 2% | COBOL Freefem |
LENGTH | 2 | 2% | COBOL PostgreSQL |
INHERITS | 2 | 2% | COBOL PostgreSQL |
INDEXED | 2 | 2% | COBOL SQLite |
EVALUATE | 2 | 2% | COBOL DAX |
ENABLE | 2 | 2% | COBOL Amazon Redshift |
DESCENDING | 2 | 2% | COBOL Cypher Query Language |
DELIMITER | 2 | 2% | COBOL PostgreSQL |
CURRENCY | 2 | 2% | COBOL DAX |
CORR | 2 | 2% | COBOL Transact-SQL |
COPY | 2 | 2% | COBOL PostgreSQL |
COMPUTE | 2 | 2% | COBOL Transact-SQL |
COBOL | 2 | 2% | COBOL PostgreSQL |
ASCENDING | 2 | 2% | COBOL Cypher Query Language |
fallthrough | 2 | 2% | Go Swift |
NaN | 2 | 2% | R Mond |
ZEROFILL | 2 | 2% | MySQL MariaDB |
YEAR_MONTH | 2 | 2% | MySQL MariaDB |
WINDOW | 2 | 2% | MySQL Transact-SQL |
VARCHARACTER | 2 | 2% | MySQL MariaDB |
VARBINARY | 2 | 2% | MySQL MariaDB |
UTC_TIMESTAMP | 2 | 2% | MySQL MariaDB |
UTC_TIME | 2 | 2% | MySQL MariaDB |
UTC_DATE | 2 | 2% | MySQL MariaDB |
UNSIGNED | 2 | 2% | MySQL MariaDB |
UNLOCK | 2 | 2% | MySQL MariaDB |
UNDO | 2 | 2% | MySQL MariaDB |
TINYTEXT | 2 | 2% | MySQL MariaDB |
TINYINT | 2 | 2% | MySQL MariaDB |
TINYBLOB | 2 | 2% | MySQL MariaDB |
TERMINATED | 2 | 2% | MySQL MariaDB |
STRAIGHT_JOIN | 2 | 2% | MySQL MariaDB |
STARTING | 2 | 2% | MySQL MariaDB |
SSL | 2 | 2% | MySQL MariaDB |
SQL_SMALL_RESULT | 2 | 2% | MySQL MariaDB |
SQL_CALC_FOUND_ROWS | 2 | 2% | MySQL MariaDB |
SQL_BIG_RESULT | 2 | 2% | MySQL MariaDB |
SPATIAL | 2 | 2% | MySQL MariaDB |
SEPARATOR | 2 | 2% | MySQL MariaDB |
SECOND_MICROSECOND | 2 | 2% | MySQL MariaDB |
SCHEMAS | 2 | 2% | MySQL MariaDB |
RLIKE | 2 | 2% | MySQL MariaDB |
RESIGNAL | 2 | 2% | MySQL MariaDB |
REQUIRE | 2 | 2% | MySQL MariaDB |
READ_WRITE | 2 | 2% | MySQL MariaDB |
PERCENT_RANK | 2 | 2% | MySQL Transact-SQL |
OVER | 2 | 2% | MySQL Transact-SQL |
OUTFILE | 2 | 2% | MySQL MariaDB |
OPTIONALLY | 2 | 2% | MySQL MariaDB |
OPTIMIZE | 2 | 2% | MySQL MariaDB |
NO_WRITE_TO_BINLOG | 2 | 2% | MySQL MariaDB |
MINUTE_SECOND | 2 | 2% | MySQL MariaDB |
MINUTE_MICROSECOND | 2 | 2% | MySQL MariaDB |
MIDDLEINT | 2 | 2% | MySQL MariaDB |
MEDIUMTEXT | 2 | 2% | MySQL MariaDB |
MEDIUMINT | 2 | 2% | MySQL MariaDB |
MEDIUMBLOB | 2 | 2% | MySQL MariaDB |
MASTER_SSL_VERIFY_SERVER_CERT | 2 | 2% | MySQL MariaDB |
LOW_PRIORITY | 2 | 2% | MySQL MariaDB |
LONGTEXT | 2 | 2% | MySQL MariaDB |
LONGBLOB | 2 | 2% | MySQL MariaDB |
LINEAR | 2 | 2% | MySQL MariaDB |
LEAVE | 2 | 2% | MySQL MariaDB |
KEYS | 2 | 2% | MySQL MariaDB |
INT8 | 2 | 2% | MySQL MariaDB |
INT4 | 2 | 2% | MySQL MariaDB |
INT3 | 2 | 2% | MySQL MariaDB |
INT2 | 2 | 2% | MySQL MariaDB |
INT1 | 2 | 2% | MySQL MariaDB |
INFILE | 2 | 2% | MySQL MariaDB |
HOUR_SECOND | 2 | 2% | MySQL MariaDB |
HOUR_MINUTE | 2 | 2% | MySQL MariaDB |
HOUR_MICROSECOND | 2 | 2% | MySQL MariaDB |
HIGH_PRIORITY | 2 | 2% | MySQL MariaDB |
GENERATED | 2 | 2% | MySQL PostgreSQL |
FULLTEXT | 2 | 2% | MySQL MariaDB |
FLOAT8 | 2 | 2% | MySQL MariaDB |
FLOAT4 | 2 | 2% | MySQL MariaDB |
ESCAPED | 2 | 2% | MySQL MariaDB |
ENCLOSED | 2 | 2% | MySQL MariaDB |
DUAL | 2 | 2% | MySQL MariaDB |
DISTINCTROW | 2 | 2% | MySQL MariaDB |
DELAYED | 2 | 2% | MySQL MariaDB |
DAY_SECOND | 2 | 2% | MySQL MariaDB |
DAY_MINUTE | 2 | 2% | MySQL MariaDB |
DAY_MICROSECOND | 2 | 2% | MySQL MariaDB |
DAY_HOUR | 2 | 2% | MySQL MariaDB |
DATABASES | 2 | 2% | MySQL MariaDB |
CUME_DIST | 2 | 2% | MySQL Transact-SQL |
CHANGE | 2 | 2% | MySQL MariaDB |
ACCESSIBLE | 2 | 2% | MySQL MariaDB |
UNIT | 2 | 2% | Fortran COBOL |
STOP | 2 | 2% | Fortran COBOL |
STATUS | 2 | 2% | Fortran COBOL |
STAT | 2 | 2% | Fortran Apex |
SEQUENTIAL | 2 | 2% | Fortran COBOL |
SAVE | 2 | 2% | Fortran Transact-SQL |
REWIND | 2 | 2% | Fortran COBOL |
PROGRAM | 2 | 2% | Fortran COBOL |
PRIVATE | 2 | 2% | Fortran Apex |
2 | 2% | Fortran Transact-SQL | |
POINTER | 2 | 2% | Fortran COBOL |
OPERATOR | 2 | 2% | Fortran PostgreSQL |
NAME | 2 | 2% | Fortran PostgreSQL |
IMPLICIT | 2 | 2% | Fortran PostgreSQL |
ENTRY | 2 | 2% | Fortran COBOL |
COMMON | 2 | 2% | Fortran COBOL |
BLOCK | 2 | 2% | Fortran COBOL |
BLANK | 2 | 2% | Fortran COBOL |
ASSIGNMENT | 2 | 2% | Fortran PostgreSQL |
ASSIGN | 2 | 2% | Fortran COBOL |
ushort | 2 | 2% | C# Aith |
ulong | 2 | 2% | C# Aith |
descending | 2 | 2% | C# ABAP |
decimal | 2 | 2% | C# Apex |
checked | 2 | 2% | C# F# |
ascending | 2 | 2% | C# ABAP |
undef | 2 | 2% | Ruby SPARQL |
ensure | 2 | 2% | Ruby Crystal |
y | 2 | 2% | Perl Freefem |
le | 2 | 2% | Perl Crema |
gt | 2 | 2% | Perl Crema |
ge | 2 | 2% | Perl Crema |
sub | 2 | 2% | Perl MIPS architecture |
m | 2 | 2% | Perl Freefem |
eq | 2 | 2% | Perl Crema |
lt | 2 | 2% | Perl Crema |
2 | 2% | PHP ABAP | |
endwhile | 2 | 2% | PHP ABAP |
typeid | 2 | 2% | C++ JS++ |
requires | 2 | 2% | C++ F* |
concept | 2 | 2% | C++ nimrod |
bitor | 2 | 2% | C++ GorillaScript |
bitand | 2 | 2% | C++ GorillaScript |
alignof | 2 | 2% | C++ Rust |
#include | 2 | 2% | C++ AutoIt |
#if | 2 | 2% | C++ Swift |
#error | 2 | 2% | C++ Speedie |
#endif | 2 | 2% | C++ Swift |
XMLSERIALIZE | 2 | 2% | SQL Transact-SQL |
XMLPARSE | 2 | 2% | SQL Transact-SQL |
XMLEXISTS | 2 | 2% | SQL Transact-SQL |
None | 2 | 2% | Python Sophia |
strictfp | 2 | 2% | Java Apex |
wais_relay_port | 1 | 1% | SquidConf |
wais_relay_host | 1 | 1% | SquidConf |
wais_relay | 1 | 1% | SquidConf |
visible_hostname | 1 | 1% | SquidConf |
useragent_log | 1 | 1% | SquidConf |
uri_whitespace | 1 | 1% | SquidConf |
unlinkd_program | 1 | 1% | SquidConf |
unique_hostname | 1 | 1% | SquidConf |
udp_outgoing_address | 1 | 1% | SquidConf |
udp_incoming_address | 1 | 1% | SquidConf |
udp_hit_obj_size | 1 | 1% | SquidConf |
udp_hit_obj | 1 | 1% | SquidConf |
test_reachability | 1 | 1% | SquidConf |
tcp_recv_bufsize | 1 | 1% | SquidConf |
tcp_outgoing_address | 1 | 1% | SquidConf |
tcp_incoming_address | 1 | 1% | SquidConf |
swap_level2_dirs | 1 | 1% | SquidConf |
swap_level1_dirs | 1 | 1% | SquidConf |
strip_query_terms | 1 | 1% | SquidConf |
store_objects_per_bucket | 1 | 1% | SquidConf |
store_avg_object_size | 1 | 1% | SquidConf |
ssl_proxy | 1 | 1% | SquidConf |
source_ping | 1 | 1% | SquidConf |
snmp_port | 1 | 1% | SquidConf |
snmp_incoming_address | 1 | 1% | SquidConf |
snmp_access | 1 | 1% | SquidConf |
siteselect_timeout | 1 | 1% | SquidConf |
single_parent_bypass | 1 | 1% | SquidConf |
shutdown_lifetime | 1 | 1% | SquidConf |
request_timeout | 1 | 1% | SquidConf |
request_size | 1 | 1% | SquidConf |
request_body_max_size | 1 | 1% | SquidConf |
reload_into_ims | 1 | 1% | SquidConf |
refresh_pattern | 1 | 1% | SquidConf |
reference_age | 1 | 1% | SquidConf |
redirect_rewrites_host_header | 1 | 1% | SquidConf |
redirect_program | 1 | 1% | SquidConf |
redirect_children | 1 | 1% | SquidConf |
read_timeout | 1 | 1% | SquidConf |
range_offset_limit | 1 | 1% | SquidConf |
quick_abort_pct | 1 | 1% | SquidConf |
quick_abort_min | 1 | 1% | SquidConf |
quick_abort_max | 1 | 1% | SquidConf |
quick_abort | 1 | 1% | SquidConf |
query_icmp | 1 | 1% | SquidConf |
proxy_auth_realm | 1 | 1% | SquidConf |
proxy_auth | 1 | 1% | SquidConf |
prefer_direct | 1 | 1% | SquidConf |
positive_dns_ttl | 1 | 1% | SquidConf |
pinger_program | 1 | 1% | SquidConf |
pid_filename | 1 | 1% | SquidConf |
pconn_timeout | 1 | 1% | SquidConf |
passthrough_proxy | 1 | 1% | SquidConf |
no_cache | 1 | 1% | SquidConf |
never_direct | 1 | 1% | SquidConf |
netdb_ping_rate | 1 | 1% | SquidConf |
netdb_ping_period | 1 | 1% | SquidConf |
netdb_low | 1 | 1% | SquidConf |
netdb_high | 1 | 1% | SquidConf |
neighbor_type_domain | 1 | 1% | SquidConf |
neighbor_timeout | 1 | 1% | SquidConf |
negative_ttl | 1 | 1% | SquidConf |
negative_dns_ttl | 1 | 1% | SquidConf |
miss_access | 1 | 1% | SquidConf |
minimum_retry_timeout | 1 | 1% | SquidConf |
minimum_object_size | 1 | 1% | SquidConf |
minimum_direct_hops | 1 | 1% | SquidConf |
min_icp_poll_cnt | 1 | 1% | SquidConf |
min_http_poll_cnt | 1 | 1% | SquidConf |
mime_table | 1 | 1% | SquidConf |
memory_replacement_policy | 1 | 1% | SquidConf |
memory_pools_limit | 1 | 1% | SquidConf |
memory_pools | 1 | 1% | SquidConf |
mcast_miss_port | 1 | 1% | SquidConf |
mcast_miss_encode_key | 1 | 1% | SquidConf |
mcast_miss_addr | 1 | 1% | SquidConf |
mcast_icp_query_timeout | 1 | 1% | SquidConf |
mcast_groups | 1 | 1% | SquidConf |
maximum_single_addr_tries | 1 | 1% | SquidConf |
maximum_object_size | 1 | 1% | SquidConf |
log_mime_hdrs | 1 | 1% | SquidConf |
log_icp_queries | 1 | 1% | SquidConf |
log_fqdn | 1 | 1% | SquidConf |
logfile_rotate | 1 | 1% | SquidConf |
local_ip | 1 | 1% | SquidConf |
local_domain | 1 | 1% | SquidConf |
ipcache_size | 1 | 1% | SquidConf |
ipcache_low | 1 | 1% | SquidConf |
ipcache_high | 1 | 1% | SquidConf |
inside_firewall | 1 | 1% | SquidConf |
incoming_icp_average | 1 | 1% | SquidConf |
incoming_http_average | 1 | 1% | SquidConf |
ident_timeout | 1 | 1% | SquidConf |
ident_lookup_access | 1 | 1% | SquidConf |
ident_lookup | 1 | 1% | SquidConf |
icp_query_timeout | 1 | 1% | SquidConf |
icp_port | 1 | 1% | SquidConf |
icp_hit_stale | 1 | 1% | SquidConf |
icp_access | 1 | 1% | SquidConf |
http_reply_access | 1 | 1% | SquidConf |
http_port | 1 | 1% | SquidConf |
httpd_accel_with_proxy | 1 | 1% | SquidConf |
httpd_accel_uses_host_header | 1 | 1% | SquidConf |
httpd_accel_port | 1 | 1% | SquidConf |
httpd_accel_host | 1 | 1% | SquidConf |
httpd_accel | 1 | 1% | SquidConf |
http_anonymizer | 1 | 1% | SquidConf |
http_access | 1 | 1% | SquidConf |
htcp_port | 1 | 1% | SquidConf |
hosts_file | 1 | 1% | SquidConf |
high_page_fault_warning | 1 | 1% | SquidConf |
high_response_time_warning | 1 | 1% | SquidConf |
hierarchy_stoplist | 1 | 1% | SquidConf |
header_replace | 1 | 1% | SquidConf |
header_access | 1 | 1% | SquidConf |
half_closed_clients | 1 | 1% | SquidConf |
ftp_user | 1 | 1% | SquidConf |
ftp_passive | 1 | 1% | SquidConf |
ftp_list_width | 1 | 1% | SquidConf |
ftpget_program | 1 | 1% | SquidConf |
ftpget_options | 1 | 1% | SquidConf |
fqdncache_size | 1 | 1% | SquidConf |
forward_snmpd_port | 1 | 1% | SquidConf |
forwarded_for | 1 | 1% | SquidConf |
firewall_ip | 1 | 1% | SquidConf |
fake_user_agent | 1 | 1% | SquidConf |
err_html_text | 1 | 1% | SquidConf |
emulate_httpd_log | 1 | 1% | SquidConf |
dns_testnames | 1 | 1% | SquidConf |
dns_nameservers | 1 | 1% | SquidConf |
dns_defnames | 1 | 1% | SquidConf |
dns_children | 1 | 1% | SquidConf |
deny_info | 1 | 1% | SquidConf |
delay_pools | 1 | 1% | SquidConf |
delay_parameters | 1 | 1% | SquidConf |
delay_initial_bucket_level | 1 | 1% | SquidConf |
delay_class | 1 | 1% | SquidConf |
delay_access | 1 | 1% | SquidConf |
debug_options | 1 | 1% | SquidConf |
dead_peer_timeout | 1 | 1% | SquidConf |
coredump_dir | 1 | 1% | SquidConf |
connect_timeout | 1 | 1% | SquidConf |
client_netmask | 1 | 1% | SquidConf |
client_lifetime | 1 | 1% | SquidConf |
client_db | 1 | 1% | SquidConf |
cache_swap_low | 1 | 1% | SquidConf |
cache_swap_log | 1 | 1% | SquidConf |
cache_swap_high | 1 | 1% | SquidConf |
cache_swap | 1 | 1% | SquidConf |
cache_store_log | 1 | 1% | SquidConf |
cache_stoplist_pattern | 1 | 1% | SquidConf |
cache_stoplist | 1 | 1% | SquidConf |
cache_replacement_policy | 1 | 1% | SquidConf |
cache_peer_access | 1 | 1% | SquidConf |
cache_peer | 1 | 1% | SquidConf |
cachemgr_passwd | 1 | 1% | SquidConf |
cache_mgr | 1 | 1% | SquidConf |
cache_mem_low | 1 | 1% | SquidConf |
cache_mem_high | 1 | 1% | SquidConf |
cache_mem | 1 | 1% | SquidConf |
cache_log | 1 | 1% | SquidConf |
cache_host_domain | 1 | 1% | SquidConf |
cache_host_acl | 1 | 1% | SquidConf |
cache_host | 1 | 1% | SquidConf |
cache_effective_user | 1 | 1% | SquidConf |
cache_effective_group | 1 | 1% | SquidConf |
cache_dns_program | 1 | 1% | SquidConf |
cache_dir | 1 | 1% | SquidConf |
cache_announce | 1 | 1% | SquidConf |
cache_access_log | 1 | 1% | SquidConf |
buffered_logs | 1 | 1% | SquidConf |
broken_posts | 1 | 1% | SquidConf |
authenticate_ttl | 1 | 1% | SquidConf |
authenticate_program | 1 | 1% | SquidConf |
authenticate_children | 1 | 1% | SquidConf |
auth_param_basic | 1 | 1% | SquidConf |
as_whois_server | 1 | 1% | SquidConf |
append_domain | 1 | 1% | SquidConf |
anonymize_headers | 1 | 1% | SquidConf |
announce_to | 1 | 1% | SquidConf |
announce_port | 1 | 1% | SquidConf |
announce_period | 1 | 1% | SquidConf |
announce_host | 1 | 1% | SquidConf |
always_direct | 1 | 1% | SquidConf |
acl | 1 | 1% | SquidConf |
access_log | 1 | 1% | SquidConf |
newvar | 1 | 1% | D++ |
exit_function | 1 | 1% | D++ |
exit_for | 1 | 1% | D++ |
exit_do | 1 | 1% | D++ |
nbe | 1 | 1% | Freefem |
cin | 1 | 1% | Freefem |
noshowbase | 1 | 1% | Freefem |
setw | 1 | 1% | Freefem |
GMRES | 1 | 1% | Freefem |
Cholesky | 1 | 1% | Freefem |
verbosity | 1 | 1% | Freefem |
qfV1lump | 1 | 1% | Freefem |
be | 1 | 1% | Freefem |
mpiAnySource | 1 | 1% | Freefem |
mpiUndefined | 1 | 1% | Freefem |
l2 | 1 | 1% | Freefem |
ffind | 1 | 1% | Freefem |
sparsesolver | 1 | 1% | Freefem |
mpiMAX | 1 | 1% | Freefem |
linfty | 1 | 1% | Freefem |
mpiLXOR | 1 | 1% | Freefem |
good | 1 | 1% | Freefem |
mpiSUM | 1 | 1% | Freefem |
edgeOrientation | 1 | 1% | Freefem |
unused | 1 | 1% | Freefem |
qf7pT | 1 | 1% | Freefem |
LU | 1 | 1% | Freefem |
mpiPROD | 1 | 1% | Freefem |
l1 | 1 | 1% | Freefem |
qf1pT | 1 | 1% | Freefem |
diag | 1 | 1% | Freefem |
qf2pT | 1 | 1% | Freefem |
pi | 1 | 1% | Freefem |
hTriangle | 1 | 1% | Freefem |
imin | 1 | 1% | Freefem |
mpirank | 1 | 1% | Freefem |
qf3pE | 1 | 1% | Freefem |
qfV1 | 1 | 1% | Freefem |
im | 1 | 1% | Freefem |
cout | 1 | 1% | Freefem |
qf9pT | 1 | 1% | Freefem |
mpisize | 1 | 1% | Freefem |
qf5pE | 1 | 1% | Freefem |
measure | 1 | 1% | Freefem |
qf5pT | 1 | 1% | Freefem |
re | 1 | 1% | Freefem |
whoinElement | 1 | 1% | Freefem |
tellp | 1 | 1% | Freefem |
nTonEdge | 1 | 1% | Freefem |
notaregion | 1 | 1% | Freefem |
Crout | 1 | 1% | Freefem |
qf2pE | 1 | 1% | Freefem |
noshowpos | 1 | 1% | Freefem |
CG | 1 | 1% | Freefem |
quantile | 1 | 1% | Freefem |
lenEdge | 1 | 1% | Freefem |
qf2pT4P1 | 1 | 1% | Freefem |
mpiCommWorld | 1 | 1% | Freefem |
searchMethod | 1 | 1% | Freefem |
qf4pE | 1 | 1% | Freefem |
showpos | 1 | 1% | Freefem |
qfV5 | 1 | 1% | Freefem |
UMFPACK | 1 | 1% | Freefem |
mpiMIN | 1 | 1% | Freefem |
x | 1 | 1% | Freefem |
volume | 1 | 1% | Freefem |
ndofK | 1 | 1% | Freefem |
nv | 1 | 1% | Freefem |
adj | 1 | 1% | Freefem |
qf1pElump | 1 | 1% | Freefem |
qfV2 | 1 | 1% | Freefem |
nuTriangle | 1 | 1% | Freefem |
imax | 1 | 1% | Freefem |
n | 1 | 1% | Freefem |
qf1pTlump | 1 | 1% | Freefem |
P | 1 | 1% | Freefem |
InternalEdge | 1 | 1% | Freefem |
qfnbpE | 1 | 1% | Freefem |
z | 1 | 1% | Freefem |
nt | 1 | 1% | Freefem |
qf1pE | 1 | 1% | Freefem |
showbase | 1 | 1% | Freefem |
mpiLOR | 1 | 1% | Freefem |
mpiBXOR | 1 | 1% | Freefem |
mpiLAND | 1 | 1% | Freefem |
ndof | 1 | 1% | Freefem |
mpiBAND | 1 | 1% | Freefem |
precision | 1 | 1% | Freefem |
BoundaryEdge | 1 | 1% | Freefem |
bordermeasure | 1 | 1% | Freefem |
ARGV | 1 | 1% | Freefem |
endl | 1 | 1% | Freefem |
rfind | 1 | 1% | Freefem |
N | 1 | 1% | Freefem |
nuEdge | 1 | 1% | Freefem |
WALLET | 1 | 1% | Amazon Redshift |
TRUNCATECOLUMNS | 1 | 1% | Amazon Redshift |
TEXT32K | 1 | 1% | Amazon Redshift |
TEXT255 | 1 | 1% | Amazon Redshift |
TDES | 1 | 1% | Amazon Redshift |
TAG | 1 | 1% | Amazon Redshift |
SYSDATE | 1 | 1% | Amazon Redshift |
SNAPSHOT | 1 | 1% | Amazon Redshift |
RESORT | 1 | 1% | Amazon Redshift |
REJECTLOG | 1 | 1% | Amazon Redshift |
RESPECT | 1 | 1% | Amazon Redshift |
RECOVER | 1 | 1% | Amazon Redshift |
READRATIO | 1 | 1% | Amazon Redshift |
RAW | 1 | 1% | Amazon Redshift |
PERMISSIONS | 1 | 1% | Amazon Redshift |
OID | 1 | 1% | Amazon Redshift |
OFFLINE | 1 | 1% | Amazon Redshift |
MOSTLY8 | 1 | 1% | Amazon Redshift |
MOSTLY32 | 1 | 1% | Amazon Redshift |
MOSTLY16 | 1 | 1% | Amazon Redshift |
MINUS | 1 | 1% | Amazon Redshift |
LZOP | 1 | 1% | Amazon Redshift |
LZO | 1 | 1% | Amazon Redshift |
LUNS | 1 | 1% | Amazon Redshift |
LUN | 1 | 1% | Amazon Redshift |
GZIP | 1 | 1% | Amazon Redshift |
GLOBALDICT64K | 1 | 1% | Amazon Redshift |
GLOBALDICT256 | 1 | 1% | Amazon Redshift |
EXPLICIT | 1 | 1% | Amazon Redshift |
ENCRYPTION | 1 | 1% | Amazon Redshift |
ENCRYPT | 1 | 1% | Amazon Redshift |
ENCODE | 1 | 1% | Amazon Redshift |
EMPTYASNULL | 1 | 1% | Amazon Redshift |
DISABLE | 1 | 1% | Amazon Redshift |
DELTA32K | 1 | 1% | Amazon Redshift |
DELTA | 1 | 1% | Amazon Redshift |
DEFRAG | 1 | 1% | Amazon Redshift |
DEFLATE | 1 | 1% | Amazon Redshift |
CURRENT_USER_ID | 1 | 1% | Amazon Redshift |
CREDENTIALS | 1 | 1% | Amazon Redshift |
BZIP2 | 1 | 1% | Amazon Redshift |
BYTEDICT | 1 | 1% | Amazon Redshift |
BLANKSASNULL | 1 | 1% | Amazon Redshift |
AZ64 | 1 | 1% | Amazon Redshift |
ALLOWOVERWRITE | 1 | 1% | Amazon Redshift |
AES256 | 1 | 1% | Amazon Redshift |
AES128 | 1 | 1% | Amazon Redshift |
type_name | 1 | 1% | RigC |
ptr | 1 | 1% | nimrod |
notin | 1 | 1% | nimrod |
iterator | 1 | 1% | nimrod |
isnot | 1 | 1% | nimrod |
discard | 1 | 1% | nimrod |
converter | 1 | 1% | nimrod |
addr | 1 | 1% | nimrod |
prn | 1 | 1% | Jisp |
insta | 1 | 1% | Jisp |
isa | 1 | 1% | Jisp |
tail | 1 | 1% | Jisp |
head | 1 | 1% | Jisp |
appoints | 1 | 1% | Lexon |
pays | 1 | 1% | Lexon |
pay | 1 | 1% | Lexon |
may | 1 | 1% | Lexon |
contracts | 1 | 1% | Lexon |
terms | 1 | 1% | Lexon |
clause | 1 | 1% | Lexon |
lex | 1 | 1% | Lexon |
lexon | 1 | 1% | Lexon |
XOr | 1 | 1% | SpiderBasic |
XIncludeFile | 1 | 1% | SpiderBasic |
UseModule | 1 | 1% | SpiderBasic |
UnuseModule | 1 | 1% | SpiderBasic |
Until | 1 | 1% | SpiderBasic |
UndefineMacro | 1 | 1% | SpiderBasic |
Swap | 1 | 1% | SpiderBasic |
Subsystem | 1 | 1% | SpiderBasic |
SizeOf | 1 | 1% | SpiderBasic |
Runtime | 1 | 1% | SpiderBasic |
Restore | 1 | 1% | SpiderBasic |
Repeat | 1 | 1% | SpiderBasic |
Read | 1 | 1% | SpiderBasic |
Prototype | 1 | 1% | SpiderBasic |
ProcedureReturn | 1 | 1% | SpiderBasic |
Procedure | 1 | 1% | SpiderBasic |
OffsetOf | 1 | 1% | SpiderBasic |
NewMap | 1 | 1% | SpiderBasic |
NewList | 1 | 1% | SpiderBasic |
MacroExpandedCount | 1 | 1% | SpiderBasic |
Macro | 1 | 1% | SpiderBasic |
IncludePath | 1 | 1% | SpiderBasic |
IncludeFile | 1 | 1% | SpiderBasic |
Forever | 1 | 1% | SpiderBasic |
ForEach | 1 | 1% | SpiderBasic |
Enumeration | 1 | 1% | SpiderBasic |
EndWith | 1 | 1% | SpiderBasic |
EndSelect | 1 | 1% | SpiderBasic |
EndProcedure | 1 | 1% | SpiderBasic |
EndModule | 1 | 1% | SpiderBasic |
EndInterface | 1 | 1% | SpiderBasic |
EndImport | 1 | 1% | SpiderBasic |
EndEnumeration | 1 | 1% | SpiderBasic |
EndDeclareModule | 1 | 1% | SpiderBasic |
EndDataSection | 1 | 1% | SpiderBasic |
EnableJS | 1 | 1% | SpiderBasic |
EnableDebugger | 1 | 1% | SpiderBasic |
EnableExplicit | 1 | 1% | SpiderBasic |
EnableASM | 1 | 1% | SpiderBasic |
DisableJS | 1 | 1% | SpiderBasic |
DisableDebugger | 1 | 1% | SpiderBasic |
DisableExplicit | 1 | 1% | SpiderBasic |
Defined | 1 | 1% | SpiderBasic |
Define | 1 | 1% | SpiderBasic |
DeclareModule | 1 | 1% | SpiderBasic |
DebugLevel | 1 | 1% | SpiderBasic |
Debug | 1 | 1% | SpiderBasic |
DataSection | 1 | 1% | SpiderBasic |
Data | 1 | 1% | SpiderBasic |
CopyStructure | 1 | 1% | SpiderBasic |
CompilerSelect | 1 | 1% | SpiderBasic |
CompilerIf | 1 | 1% | SpiderBasic |
CompilerEndSelect | 1 | 1% | SpiderBasic |
CompilerEndIf | 1 | 1% | SpiderBasic |
CompilerElseIf | 1 | 1% | SpiderBasic |
CompilerElse | 1 | 1% | SpiderBasic |
CompilerCase | 1 | 1% | SpiderBasic |
ClearStructure | 1 | 1% | SpiderBasic |
CallDebugger | 1 | 1% | SpiderBasic |
Bool | 1 | 1% | SpiderBasic |
uint362 | 1 | 1% | orange |
fro | 1 | 1% | orange |
command | 1 | 1% | Functional PHP Preprocessor |
guid | 1 | 1% | Functional PHP Preprocessor |
throw? | 1 | 1% | GorillaScript |
typeof! | 1 | 1% | GorillaScript |
til | 1 | 1% | GorillaScript |
post-inc! | 1 | 1% | GorillaScript |
post-dec! | 1 | 1% | GorillaScript |
ownsor | 1 | 1% | GorillaScript |
ownskey | 1 | 1% | GorillaScript |
is-object! | 1 | 1% | GorillaScript |
is-array! | 1 | 1% | GorillaScript |
instanceofsome | 1 | 1% | GorillaScript |
haskey | 1 | 1% | GorillaScript |
bitxor | 1 | 1% | GorillaScript |
biturshift | 1 | 1% | GorillaScript |
bitrshift | 1 | 1% | GorillaScript |
bitnot | 1 | 1% | GorillaScript |
bitlshift | 1 | 1% | GorillaScript |
bits | 1 | 1% | Sophia |
Some | 1 | 1% | Sophia |
Chain | 1 | 1% | Sophia |
Auth | 1 | 1% | Sophia |
Address | 1 | 1% | Sophia |
Oracle | 1 | 1% | Sophia |
Bits | 1 | 1% | Sophia |
oracle_query | 1 | 1% | Sophia |
signature | 1 | 1% | Sophia |
hash | 1 | 1% | Sophia |
stateful | 1 | 1% | Sophia |
entrypoint | 1 | 1% | Sophia |
DATETIME | 1 | 1% | DAX |
MEASURE | 1 | 1% | DAX |
DEFINE | 1 | 1% | DAX |
DATATABLE | 1 | 1% | DAX |
sender | 1 | 1% | Ligo |
Operation | 1 | 1% | Ligo |
match%nat | 1 | 1% | Ligo |
let%init | 1 | 1% | Ligo |
let%entry | 1 | 1% | Ligo |
failwith | 1 | 1% | Ligo |
Current | 1 | 1% | Ligo |
sdef | 1 | 1% | Crema |
neq | 1 | 1% | Crema |
multiset | 1 | 1% | Egison |
matchAll | 1 | 1% | Egison |
borrowing | 1 | 1% | Q# |
w/ | 1 | 1% | Q# |
intrinsic | 1 | 1% | Q# |
invert | 1 | 1% | Q# |
distribute | 1 | 1% | Q# |
Ctl | 1 | 1% | Q# |
Adj | 1 | 1% | Q# |
Controlled | 1 | 1% | Q# |
Adjoint | 1 | 1% | Q# |
fixup | 1 | 1% | Q# |
controlled | 1 | 1% | Q# |
adjoint | 1 | 1% | Q# |
seq | 1 | 1% | Mond |
Infinity | 1 | 1% | Mond |
proxy | 1 | 1% | Violent ES |
YIELD | 1 | 1% | Cypher Query Language |
UNWIND | 1 | 1% | Cypher Query Language |
STARTS | 1 | 1% | Cypher Query Language |
SKIP | 1 | 1% | Cypher Query Language |
REMOVE | 1 | 1% | Cypher Query Language |
MANDATORY | 1 | 1% | Cypher Query Language |
ENDS | 1 | 1% | Cypher Query Language |
forbid | 1 | 1% | Flow9 |
emit | 1 | 1% | Streem |
xpath | 1 | 1% | Eclipse Command Language |
wnotrim | 1 | 1% | Eclipse Command Language |
wild | 1 | 1% | Eclipse Command Language |
whole | 1 | 1% | Eclipse Command Language |
validate | 1 | 1% | Eclipse Command Language |
unstable | 1 | 1% | Eclipse Command Language |
unsorted | 1 | 1% | Eclipse Command Language |
unordered | 1 | 1% | Eclipse Command Language |
unicodeorder | 1 | 1% | Eclipse Command Language |
trim | 1 | 1% | Eclipse Command Language |
transform | 1 | 1% | Eclipse Command Language |
token | 1 | 1% | Eclipse Command Language |
timeout | 1 | 1% | Eclipse Command Language |
timelimit | 1 | 1% | Eclipse Command Language |
threshold | 1 | 1% | Eclipse Command Language |
thor | 1 | 1% | Eclipse Command Language |
terminator | 1 | 1% | Eclipse Command Language |
store | 1 | 1% | Eclipse Command Language |
soapaction | 1 | 1% | Eclipse Command Language |
separator | 1 | 1% | Eclipse Command Language |
scope | 1 | 1% | Eclipse Command Language |
rowset | 1 | 1% | Eclipse Command Language |
right2 | 1 | 1% | Eclipse Command Language |
right1 | 1 | 1% | Eclipse Command Language |
prefetch | 1 | 1% | Eclipse Command Language |
physicallength | 1 | 1% | Eclipse Command Language |
penalty | 1 | 1% | Eclipse Command Language |
opt | 1 | 1% | Eclipse Command Language |
onfail | 1 | 1% | Eclipse Command Language |
noxpath | 1 | 1% | Eclipse Command Language |
nosort | 1 | 1% | Eclipse Command Language |
noscan | 1 | 1% | Eclipse Command Language |
noroot | 1 | 1% | Eclipse Command Language |
nocase | 1 | 1% | Eclipse Command Language |
mofn | 1 | 1% | Eclipse Command Language |
maxlength | 1 | 1% | Eclipse Command Language |
maxcount | 1 | 1% | Eclipse Command Language |
lzw | 1 | 1% | Eclipse Command Language |
lookup | 1 | 1% | Eclipse Command Language |
little_endian | 1 | 1% | Eclipse Command Language |
linkcounted | 1 | 1% | Eclipse Command Language |
keyed | 1 | 1% | Eclipse Command Language |
joined | 1 | 1% | Eclipse Command Language |
ifblock | 1 | 1% | Eclipse Command Language |
hole | 1 | 1% | Eclipse Command Language |
grouped | 1 | 1% | Eclipse Command Language |
functionmacro | 1 | 1% | Eclipse Command Language |
flat | 1 | 1% | Eclipse Command Language |
fileposition | 1 | 1% | Eclipse Command Language |
few | 1 | 1% | Eclipse Command Language |
expire | 1 | 1% | Eclipse Command Language |
exclusive | 1 | 1% | Eclipse Command Language |
escape | 1 | 1% | Eclipse Command Language |
endc | 1 | 1% | Eclipse Command Language |
encrypt | 1 | 1% | Eclipse Command Language |
descend | 1 | 1% | Eclipse Command Language |
csv | 1 | 1% | Eclipse Command Language |
counter | 1 | 1% | Eclipse Command Language |
compressed | 1 | 1% | Eclipse Command Language |
cluster | 1 | 1% | Eclipse Command Language |
best | 1 | 1% | Eclipse Command Language |
beginc | 1 | 1% | Eclipse Command Language |
atmost | 1 | 1% | Eclipse Command Language |
__compressed__ | 1 | 1% | Eclipse Command Language |
Yesterday | 1 | 1% | Apex |
YESTERDAY | 1 | 1% | Apex |
yesterday | 1 | 1% | Apex |
Where | 1 | 1% | Apex |
Webservice | 1 | 1% | Apex |
WEBSERVICE | 1 | 1% | Apex |
webservice | 1 | 1% | Apex |
Volatile | 1 | 1% | Apex |
Void | 1 | 1% | Apex |
VOID | 1 | 1% | Apex |
Virtual | 1 | 1% | Apex |
Upsert | 1 | 1% | Apex |
UPSERT | 1 | 1% | Apex |
upsert | 1 | 1% | Apex |
Update | 1 | 1% | Apex |
Undelete | 1 | 1% | Apex |
UNDELETE | 1 | 1% | Apex |
undelete | 1 | 1% | Apex |
Trigger | 1 | 1% | Apex |
trigger | 1 | 1% | Apex |
Transient | 1 | 1% | Apex |
TRANSIENT | 1 | 1% | Apex |
Transaction | 1 | 1% | Apex |
Tomorrow | 1 | 1% | Apex |
TOMORROW | 1 | 1% | Apex |
tomorrow | 1 | 1% | Apex |
Tolabel | 1 | 1% | Apex |
TOLABEL | 1 | 1% | Apex |
tolabel | 1 | 1% | Apex |
Today | 1 | 1% | Apex |
TODAY | 1 | 1% | Apex |
today | 1 | 1% | Apex |
Throws | 1 | 1% | Apex |
THROWS | 1 | 1% | Apex |
THROW | 1 | 1% | Apex |
This_week | 1 | 1% | Apex |
THIS_WEEK | 1 | 1% | Apex |
this_week | 1 | 1% | Apex |
This_month | 1 | 1% | Apex |
THIS_MONTH | 1 | 1% | Apex |
this_month | 1 | 1% | Apex |
This | 1 | 1% | Apex |
THIS | 1 | 1% | Apex |
Testmethod | 1 | 1% | Apex |
TESTMETHOD | 1 | 1% | Apex |
testmethod | 1 | 1% | Apex |
System | 1 | 1% | Apex |
system | 1 | 1% | Apex |
Synchronized | 1 | 1% | Apex |
Switch | 1 | 1% | Apex |
SWITCH | 1 | 1% | Apex |
Strictfp | 1 | 1% | Apex |
STRICTFP | 1 | 1% | Apex |
Stat | 1 | 1% | Apex |
stat | 1 | 1% | Apex |
Sort | 1 | 1% | Apex |
SHORT | 1 | 1% | Apex |
Search | 1 | 1% | Apex |
Savepoint | 1 | 1% | Apex |
savepoint | 1 | 1% | Apex |
Rollback | 1 | 1% | Apex |
Returning | 1 | 1% | Apex |
Retrieve | 1 | 1% | Apex |
RETRIEVE | 1 | 1% | Apex |
retrieve | 1 | 1% | Apex |
PROTECTED | 1 | 1% | Apex |
Pragma | 1 | 1% | Apex |
Parallel | 1 | 1% | Apex |
Package | 1 | 1% | Apex |
PACKAGE | 1 | 1% | Apex |
Override | 1 | 1% | Apex |
Outer | 1 | 1% | Apex |
Number | 1 | 1% | Apex |
Nulls | 1 | 1% | Apex |
Null | 1 | 1% | Apex |
Next_week | 1 | 1% | Apex |
NEXT_WEEK | 1 | 1% | Apex |
next_week | 1 | 1% | Apex |
Next_n_days | 1 | 1% | Apex |
NEXT_N_DAYS | 1 | 1% | Apex |
next_n_days | 1 | 1% | Apex |
Next_month | 1 | 1% | Apex |
NEXT_MONTH | 1 | 1% | Apex |
next_month | 1 | 1% | Apex |
Next_90_days | 1 | 1% | Apex |
NEXT_90_DAYS | 1 | 1% | Apex |
next_90_days | 1 | 1% | Apex |
Native | 1 | 1% | Apex |
Merge | 1 | 1% | Apex |
merge | 1 | 1% | Apex |
Limit | 1 | 1% | Apex |
Last_week | 1 | 1% | Apex |
LAST_WEEK | 1 | 1% | Apex |
last_week | 1 | 1% | Apex |
Last_n_days | 1 | 1% | Apex |
LAST_N_DAYS | 1 | 1% | Apex |
last_n_days | 1 | 1% | Apex |
Last_month | 1 | 1% | Apex |
LAST_MONTH | 1 | 1% | Apex |
last_month | 1 | 1% | Apex |
Last_90_days | 1 | 1% | Apex |
LAST_90_DAYS | 1 | 1% | Apex |
last_90_days | 1 | 1% | Apex |
Join | 1 | 1% | Apex |
Into | 1 | 1% | Apex |
Instanceof | 1 | 1% | Apex |
INSTANCEOF | 1 | 1% | Apex |
Insert | 1 | 1% | Apex |
Inner | 1 | 1% | Apex |
IMPLEMENTS | 1 | 1% | Apex |
Hint | 1 | 1% | Apex |
HINT | 1 | 1% | Apex |
Having | 1 | 1% | Apex |
Group | 1 | 1% | Apex |
Goto | 1 | 1% | Apex |
Future | 1 | 1% | Apex |
FUTURE | 1 | 1% | Apex |
future | 1 | 1% | Apex |
From | 1 | 1% | Apex |
Float | 1 | 1% | Apex |
Final | 1 | 1% | Apex |
EXTENDS | 1 | 1% | Apex |
Export | 1 | 1% | Apex |
EXPORT | 1 | 1% | Apex |
Exception | 1 | 1% | Apex |
Desc | 1 | 1% | Apex |
Delete | 1 | 1% | Apex |
Convertcurrency | 1 | 1% | Apex |
CONVERTCURRENCY | 1 | 1% | Apex |
convertcurrency | 1 | 1% | Apex |
Commit | 1 | 1% | Apex |
Collect | 1 | 1% | Apex |
CATCH | 1 | 1% | Apex |
Cast | 1 | 1% | Apex |
By | 1 | 1% | Apex |
Bulk | 1 | 1% | Apex |
Blob | 1 | 1% | Apex |
Bigdecimal | 1 | 1% | Apex |
BIGDECIMAL | 1 | 1% | Apex |
bigdecimal | 1 | 1% | Apex |
Begin | 1 | 1% | Apex |
Autonomous | 1 | 1% | Apex |
AUTONOMOUS | 1 | 1% | Apex |
autonomous | 1 | 1% | Apex |
Assert | 1 | 1% | Apex |
ASSERT | 1 | 1% | Apex |
Asc | 1 | 1% | Apex |
Any | 1 | 1% | Apex |
Activate | 1 | 1% | Apex |
ACTIVATE | 1 | 1% | Apex |
activate | 1 | 1% | Apex |
Abstract | 1 | 1% | Apex |
ABSTRACT | 1 | 1% | Apex |
*/ | 1 | 1% | Angelscript |
/* | 1 | 1% | Angelscript |
// | 1 | 1% | Angelscript |
_Abc123 | 1 | 1% | Angelscript |
"""heredoc""" | 1 | 1% | Angelscript |
"abc" | 1 | 1% | Angelscript |
'abc' | 1 | 1% | Angelscript |
0b1010 | 1 | 1% | Angelscript |
0o1276 | 1 | 1% | Angelscript |
0d123987 | 1 | 1% | Angelscript |
0x1234FEDC | 1 | 1% | Angelscript |
123.123e123f | 1 | 1% | Angelscript |
123.123e123 | 1 | 1% | Angelscript |
123456789 | 1 | 1% | Angelscript |
^^ | 1 | 1% | Angelscript |
. | 1 | 1% | Angelscript |
>>>= | 1 | 1% | Angelscript |
|= | 1 | 1% | Angelscript |
>>> | 1 | 1% | Angelscript |
{ | 1 | 1% | Angelscript |
**= | 1 | 1% | Angelscript |
** | 1 | 1% | Angelscript |
this* | 1 | 1% | Angelscript |
super* | 1 | 1% | Angelscript |
shared* | 1 | 1% | Angelscript |
set* | 1 | 1% | Angelscript |
override* | 1 | 1% | Angelscript |
get* | 1 | 1% | Angelscript |
funcdef | 1 | 1% | Angelscript |
from* | 1 | 1% | Angelscript |
final* | 1 | 1% | Angelscript |
abstract* | 1 | 1% | Angelscript |
wrapper | 1 | 1% | Aith |
wrap | 1 | 1% | Aith |
uses | 1 | 1% | Aith |
used | 1 | 1% | Aith |
unwrap | 1 | 1% | Aith |
unrestricted | 1 | 1% | Aith |
ubyte | 1 | 1% | Aith |
transparent | 1 | 1% | Aith |
subtypable | 1 | 1% | Aith |
signedness | 1 | 1% | Aith |
representation | 1 | 1% | Aith |
pretype | 1 | 1% | Aith |
pointer | 1 | 1% | Aith |
multiplicity | 1 | 1% | Aith |
multiarg | 1 | 1% | Aith |
linear | 1 | 1% | Aith |
io | 1 | 1% | Aith |
invariant | 1 | 1% | Aith |
existence | 1 | 1% | Aith |
capacity | 1 | 1% | Aith |
co | 1 | 1% | Jule |
uintptr | 1 | 1% | Jule |
fall | 1 | 1% | Jule |
str | 1 | 1% | Jule |
cpp | 1 | 1% | Jule |
coeval | 1 | 1% | Slope |
begin0 | 1 | 1% | Slope |
usage | 1 | 1% | Slope |
load-mod-file | 1 | 1% | Slope |
load-mod | 1 | 1% | Slope |
SubMenu | 1 | 1% | IGOR Pro |
Menu | 1 | 1% | IGOR Pro |
DoPrompt | 1 | 1% | IGOR Pro |
Prompt | 1 | 1% | IGOR Pro |
Picture | 1 | 1% | IGOR Pro |
Proc | 1 | 1% | IGOR Pro |
MultiThread | 1 | 1% | IGOR Pro |
ThreadSafe | 1 | 1% | IGOR Pro |
whilst | 1 | 1% | Felix |
upto | 1 | 1% | Felix |
typematch | 1 | 1% | Felix |
typecase | 1 | 1% | Felix |
the | 1 | 1% | Felix |
regmatch | 1 | 1% | Felix |
reglex | 1 | 1% | Felix |
regexp | 1 | 1% | Felix |
parse | 1 | 1% | Felix |
obj | 1 | 1% | Felix |
nonterm | 1 | 1% | Felix |
noexpand | 1 | 1% | Felix |
jump | 1 | 1% | Felix |
incomplete | 1 | 1% | Felix |
ident | 1 | 1% | Felix |
forget | 1 | 1% | Felix |
endmatch | 1 | 1% | Felix |
endattempt | 1 | 1% | Felix |
ctypes | 1 | 1% | Felix |
compound | 1 | 1% | Felix |
cclass | 1 | 1% | Felix |
caseno | 1 | 1% | Felix |
callback | 1 | 1% | Felix |
attempt | 1 | 1% | Felix |
_deref | 1 | 1% | Felix |
" | 1 | 1% | HOPE |
truval | 1 | 1% | HOPE |
--- | 1 | 1% | HOPE |
X | 1 | 1% | HOPE |
dec | 1 | 1% | HOPE |
num | 1 | 1% | HOPE |
con | 1 | 1% | Koka |
rectype | 1 | 1% | Koka |
cotype | 1 | 1% | Koka |
thru | 1 | 1% | Maxima |
XMLVALIDATE | 1 | 1% | Transact-SQL |
XMLTEXT | 1 | 1% | Transact-SQL |
XMLTABLE | 1 | 1% | Transact-SQL |
XMLQUERY | 1 | 1% | Transact-SQL |
XMLPI | 1 | 1% | Transact-SQL |
XMLNAMESPACES | 1 | 1% | Transact-SQL |
XMLITERATE | 1 | 1% | Transact-SQL |
XMLFOREST | 1 | 1% | Transact-SQL |
XMLELEMENT | 1 | 1% | Transact-SQL |
XMLDOCUMENT | 1 | 1% | Transact-SQL |
XMLCONCAT | 1 | 1% | Transact-SQL |
XMLCOMMENT | 1 | 1% | Transact-SQL |
XMLCAST | 1 | 1% | Transact-SQL |
XMLBINARY | 1 | 1% | Transact-SQL |
XMLATTRIBUTES | 1 | 1% | Transact-SQL |
XMLAGG | 1 | 1% | Transact-SQL |
WRITETEXT | 1 | 1% | Transact-SQL |
WIDTH_BUCKET | 1 | 1% | Transact-SQL |
WAITFOR | 1 | 1% | Transact-SQL |
VAR_SAMP | 1 | 1% | Transact-SQL |
VAR_POP | 1 | 1% | Transact-SQL |
UPDATETEXT | 1 | 1% | Transact-SQL |
UNPIVOT | 1 | 1% | Transact-SQL |
UESCAPE | 1 | 1% | Transact-SQL |
TSEQUAL | 1 | 1% | Transact-SQL |
TRY_CONVERT | 1 | 1% | Transact-SQL |
TRANSLATE_REGEX | 1 | 1% | Transact-SQL |
TRAN | 1 | 1% | Transact-SQL |
TEXTSIZE | 1 | 1% | Transact-SQL |
TABLESAMPLE | 1 | 1% | Transact-SQL |
SUBSTRING_REGEX | 1 | 1% | Transact-SQL |
SUBMULTISET | 1 | 1% | Transact-SQL |
STDDEV_SAMP | 1 | 1% | Transact-SQL |
STDDEV_POP | 1 | 1% | Transact-SQL |
SQLCA | 1 | 1% | Transact-SQL |
SHUTDOWN | 1 | 1% | Transact-SQL |
SETUSER | 1 | 1% | Transact-SQL |
SEMANTICSIMILARITYTABLE | 1 | 1% | Transact-SQL |
SEMANTICSIMILARITYDETAILSTABLE | 1 | 1% | Transact-SQL |
SEMANTICKEYPHRASETABLE | 1 | 1% | Transact-SQL |
SECURITYAUDIT | 1 | 1% | Transact-SQL |
ROWGUIDCOL | 1 | 1% | Transact-SQL |
ROWCOUNT | 1 | 1% | Transact-SQL |
REVERT | 1 | 1% | Transact-SQL |
REPLICATION | 1 | 1% | Transact-SQL |
REGR_SYY | 1 | 1% | Transact-SQL |
REGR_SXY | 1 | 1% | Transact-SQL |
REGR_SXX | 1 | 1% | Transact-SQL |
REGR_SLOPE | 1 | 1% | Transact-SQL |
REGR_R2 | 1 | 1% | Transact-SQL |
REGR_INTERCEPT | 1 | 1% | Transact-SQL |
REGR_COUNT | 1 | 1% | Transact-SQL |
REGR_AVGY | 1 | 1% | Transact-SQL |
REGR_AVGX | 1 | 1% | Transact-SQL |
RECONFIGURE | 1 | 1% | Transact-SQL |
READTEXT | 1 | 1% | Transact-SQL |
RAISERROR | 1 | 1% | Transact-SQL |
PROC | 1 | 1% | Transact-SQL |
POSITION_REGEX | 1 | 1% | Transact-SQL |
PIVOT | 1 | 1% | Transact-SQL |
PERCENTILE_DISC | 1 | 1% | Transact-SQL |
PERCENTILE_CONT | 1 | 1% | Transact-SQL |
OPENXML | 1 | 1% | Transact-SQL |
OPENROWSET | 1 | 1% | Transact-SQL |
OPENQUERY | 1 | 1% | Transact-SQL |
OPENDATASOURCE | 1 | 1% | Transact-SQL |
OFFSETS | 1 | 1% | Transact-SQL |
OCCURRENCES_REGEX | 1 | 1% | Transact-SQL |
NORMALIZE | 1 | 1% | Transact-SQL |
NONCLUSTERED | 1 | 1% | Transact-SQL |
NOCHECK | 1 | 1% | Transact-SQL |
MULTISET | 1 | 1% | Transact-SQL |
MEMBER | 1 | 1% | Transact-SQL |
LN | 1 | 1% | Transact-SQL |
LINENO | 1 | 1% | Transact-SQL |
LIKE_REGEX | 1 | 1% | Transact-SQL |
INTERSECTION | 1 | 1% | Transact-SQL |
INCLUDE | 1 | 1% | Transact-SQL |
IDENTITYCOL | 1 | 1% | Transact-SQL |
IDENTITY_INSERT | 1 | 1% | Transact-SQL |
HOLDLOCK | 1 | 1% | Transact-SQL |
FUSION | 1 | 1% | Transact-SQL |
FULLTEXTTABLE | 1 | 1% | Transact-SQL |
FREETEXTTABLE | 1 | 1% | Transact-SQL |
FREETEXT | 1 | 1% | Transact-SQL |
FILTER | 1 | 1% | Transact-SQL |
FILLFACTOR | 1 | 1% | Transact-SQL |
ERRLVL | 1 | 1% | Transact-SQL |
ELEMENT | 1 | 1% | Transact-SQL |
DUMP | 1 | 1% | Transact-SQL |
DISTRIBUTED | 1 | 1% | Transact-SQL |
DISK | 1 | 1% | Transact-SQL |
DENY | 1 | 1% | Transact-SQL |
DBCC | 1 | 1% | Transact-SQL |
CURRENT_TRANSFORM_GROUP_FOR_TYPE | 1 | 1% | Transact-SQL |
CURRENT_SCHEMA | 1 | 1% | Transact-SQL |
CURRENT_DEFAULT_TRANSFORM_GROUP | 1 | 1% | Transact-SQL |
CURRENT_CATALOG | 1 | 1% | Transact-SQL |
COVAR_SAMP | 1 | 1% | Transact-SQL |
COVAR_POP | 1 | 1% | Transact-SQL |
CONTAINSTABLE | 1 | 1% | Transact-SQL |
CLUSTERED | 1 | 1% | Transact-SQL |
BROWSE | 1 | 1% | Transact-SQL |
endset | 1 | 1% | Twig |
endsandbox | 1 | 1% | Twig |
endblock | 1 | 1% | Twig |
endautoescape | 1 | 1% | Twig |
endapply | 1 | 1% | Twig |
sandbox | 1 | 1% | Twig |
deprecated | 1 | 1% | Twig |
autoescape | 1 | 1% | Twig |
syx | 1 | 1% | Speedie |
behaviour | 1 | 1% | Speedie |
#expect | 1 | 1% | Speedie |
#require | 1 | 1% | Speedie |
existing | 1 | 1% | Bicep |
targetScope | 1 | 1% | Bicep |
withviewtype | 1 | 1% | ATS |
withvtype | 1 | 1% | ATS |
withview | 1 | 1% | ATS |
withprop | 1 | 1% | ATS |
withtype | 1 | 1% | ATS |
prvar | 1 | 1% | ATS |
prval | 1 | 1% | ATS |
viewtypedef | 1 | 1% | ATS |
vtypedef | 1 | 1% | ATS |
viewdef | 1 | 1% | ATS |
propdef | 1 | 1% | ATS |
tkindef | 1 | 1% | ATS |
dynload | 1 | 1% | ATS |
staload | 1 | 1% | ATS |
stadef | 1 | 1% | ATS |
stacst | 1 | 1% | ATS |
sta | 1 | 1% | ATS |
sortdef | 1 | 1% | ATS |
scase | 1 | 1% | ATS |
sif | 1 | 1% | ATS |
op | 1 | 1% | ATS |
overload | 1 | 1% | ATS |
symintr | 1 | 1% | ATS |
symelim | 1 | 1% | ATS |
nonfix | 1 | 1% | ATS |
macrodef | 1 | 1% | ATS |
macdef | 1 | 1% | ATS |
primplement | 1 | 1% | ATS |
primplmnt | 1 | 1% | ATS |
implement | 1 | 1% | ATS |
implmnt | 1 | 1% | ATS |
ifcase | 1 | 1% | ATS |
castfn | 1 | 1% | ATS |
praxi | 1 | 1% | ATS |
prfun | 1 | 1% | ATS |
prfn | 1 | 1% | ATS |
fnx | 1 | 1% | ATS |
extvar | 1 | 1% | ATS |
extype | 1 | 1% | ATS |
dataviewtype | 1 | 1% | ATS |
datavtype | 1 | 1% | ATS |
dataview | 1 | 1% | ATS |
dataprop | 1 | 1% | ATS |
datasort | 1 | 1% | ATS |
classdec | 1 | 1% | ATS |
absviewt0ype | 1 | 1% | ATS |
absvt0ype | 1 | 1% | ATS |
absviewtype | 1 | 1% | ATS |
absvtype | 1 | 1% | ATS |
absview | 1 | 1% | ATS |
absprop | 1 | 1% | ATS |
abst0ype | 1 | 1% | ATS |
abstype | 1 | 1% | ATS |
SLOW | 1 | 1% | MariaDB |
MASTER_HEARTBEAT_PERIOD | 1 | 1% | MariaDB |
IGNORE_SERVER_IDS | 1 | 1% | MariaDB |
for_each | 1 | 1% | HCL |
path | 1 | 1% | HCL |
wildcard | 1 | 1% | SystemVerilog |
weak1 | 1 | 1% | SystemVerilog |
weak0 | 1 | 1% | SystemVerilog |
wait_order | 1 | 1% | SystemVerilog |
uwire | 1 | 1% | SystemVerilog |
untyped | 1 | 1% | SystemVerilog |
until_with | 1 | 1% | SystemVerilog |
unique0 | 1 | 1% | SystemVerilog |
tranif1 | 1 | 1% | SystemVerilog |
tranif0 | 1 | 1% | SystemVerilog |
tran | 1 | 1% | SystemVerilog |
timeunit | 1 | 1% | SystemVerilog |
timeprecision | 1 | 1% | SystemVerilog |
throughout | 1 | 1% | SystemVerilog |
sync_reject_on | 1 | 1% | SystemVerilog |
sync_accept_on | 1 | 1% | SystemVerilog |
supply1 | 1 | 1% | SystemVerilog |
supply0 | 1 | 1% | SystemVerilog |
strong1 | 1 | 1% | SystemVerilog |
strong0 | 1 | 1% | SystemVerilog |
strong | 1 | 1% | SystemVerilog |
solve | 1 | 1% | SystemVerilog |
soft | 1 | 1% | SystemVerilog |
small | 1 | 1% | SystemVerilog |
shortreal | 1 | 1% | SystemVerilog |
s_until_with | 1 | 1% | SystemVerilog |
s_until | 1 | 1% | SystemVerilog |
s_nexttime | 1 | 1% | SystemVerilog |
s_eventually | 1 | 1% | SystemVerilog |
s_always | 1 | 1% | SystemVerilog |
rtranif1 | 1 | 1% | SystemVerilog |
rtranif0 | 1 | 1% | SystemVerilog |
rtran | 1 | 1% | SystemVerilog |
rpmos | 1 | 1% | SystemVerilog |
rnmos | 1 | 1% | SystemVerilog |
reject_on | 1 | 1% | SystemVerilog |
realtime | 1 | 1% | SystemVerilog |
real | 1 | 1% | SystemVerilog |
rcmos | 1 | 1% | SystemVerilog |
randsequence | 1 | 1% | SystemVerilog |
randcase | 1 | 1% | SystemVerilog |
randc | 1 | 1% | SystemVerilog |
rand | 1 | 1% | SystemVerilog |
pullup | 1 | 1% | SystemVerilog |
pulldown | 1 | 1% | SystemVerilog |
pull1 | 1 | 1% | SystemVerilog |
pull0 | 1 | 1% | SystemVerilog |
pmos | 1 | 1% | SystemVerilog |
notif1 | 1 | 1% | SystemVerilog |
notif0 | 1 | 1% | SystemVerilog |
nmos | 1 | 1% | SystemVerilog |
nexttime | 1 | 1% | SystemVerilog |
nettype | 1 | 1% | SystemVerilog |
modport | 1 | 1% | SystemVerilog |
matches | 1 | 1% | SystemVerilog |
large | 1 | 1% | SystemVerilog |
join_none | 1 | 1% | SystemVerilog |
join_any | 1 | 1% | SystemVerilog |
intersect | 1 | 1% | SystemVerilog |
interconnect | 1 | 1% | SystemVerilog |
inside | 1 | 1% | SystemVerilog |
implies | 1 | 1% | SystemVerilog |
illegal_bins | 1 | 1% | SystemVerilog |
ignore_bins | 1 | 1% | SystemVerilog |
iff | 1 | 1% | SystemVerilog |
highz1 | 1 | 1% | SystemVerilog |
highz0 | 1 | 1% | SystemVerilog |
forkjoin | 1 | 1% | SystemVerilog |
first_match | 1 | 1% | SystemVerilog |
eventually | 1 | 1% | SystemVerilog |
endsequence | 1 | 1% | SystemVerilog |
endproperty | 1 | 1% | SystemVerilog |
endprogram | 1 | 1% | SystemVerilog |
endpackage | 1 | 1% | SystemVerilog |
endgroup | 1 | 1% | SystemVerilog |
endclocking | 1 | 1% | SystemVerilog |
endchecker | 1 | 1% | SystemVerilog |
dist | 1 | 1% | SystemVerilog |
coverpoint | 1 | 1% | SystemVerilog |
covergroup | 1 | 1% | SystemVerilog |
cmos | 1 | 1% | SystemVerilog |
clocking | 1 | 1% | SystemVerilog |
checker | 1 | 1% | SystemVerilog |
chandle | 1 | 1% | SystemVerilog |
bufif1 | 1 | 1% | SystemVerilog |
bufif0 | 1 | 1% | SystemVerilog |
buf | 1 | 1% | SystemVerilog |
binsof | 1 | 1% | SystemVerilog |
bins | 1 | 1% | SystemVerilog |
always_latch | 1 | 1% | SystemVerilog |
always_ff | 1 | 1% | SystemVerilog |
always_comb | 1 | 1% | SystemVerilog |
accept_on | 1 | 1% | SystemVerilog |
stdcall | 1 | 1% | Clean |
special | 1 | 1% | Clean |
derive | 1 | 1% | Clean |
ccall | 1 | 1% | Clean |
silent | 1 | 1% | SPARQL |
minus | 1 | 1% | SPARQL |
drop | 1 | 1% | SPARQL |
construct | 1 | 1% | SPARQL |
ask | 1 | 1% | SPARQL |
unfoldable | 1 | 1% | F* |
unfold | 1 | 1% | F* |
synth | 1 | 1% | F* |
sub_effect | 1 | 1% | F* |
set_range_of | 1 | 1% | F* |
reflectable | 1 | 1% | F* |
reify | 1 | 1% | F* |
reifiable | 1 | 1% | F* |
range_of | 1 | 1% | F* |
noextract | 1 | 1% | F* |
new_effect | 1 | 1% | F* |
irreducible | 1 | 1% | F* |
inline_for_extraction | 1 | 1% | F* |
ensures | 1 | 1% | F* |
effect | 1 | 1% | F* |
andbegin | 1 | 1% | F* |
unopteq | 1 | 1% | F* |
noeq | 1 | 1% | F* |
WEND | 1 | 1% | QBasic |
STEP | 1 | 1% | QBasic |
SINGLE | 1 | 1% | QBasic |
ENDIF | 1 | 1% | QBasic |
CDECL | 1 | 1% | QBasic |
BYVAL | 1 | 1% | QBasic |
BASE | 1 | 1% | QBasic |
APPEND | 1 | 1% | QBasic |
xml_parser | 1 | 1% | Opa |
server | 1 | 1% | Opa |
parser | 1 | 1% | Opa |
db | 1 | 1% | Opa |
css | 1 | 1% | Opa |
offer | 1 | 1% | X10 |
isref | 1 | 1% | X10 |
here | 1 | 1% | X10 |
haszero | 1 | 1% | X10 |
finish | 1 | 1% | X10 |
clocked | 1 | 1% | X10 |
ateach | 1 | 1% | X10 |
athome | 1 | 1% | X10 |
wend | 1 | 1% | AutoIt |
exitloop | 1 | 1% | AutoIt |
endfunc | 1 | 1% | AutoIt |
dim | 1 | 1% | AutoIt |
continueloop | 1 | 1% | AutoIt |
#region | 1 | 1% | AutoIt |
#forceref | 1 | 1% | AutoIt |
#forcedef | 1 | 1% | AutoIt |
#endregion | 1 | 1% | AutoIt |
#include-once | 1 | 1% | AutoIt |
afterwards | 1 | 1% | Dylan |
above | 1 | 1% | Dylan |
below | 1 | 1% | Dylan |
stream | 1 | 1% | Protocol Buffers |
rpc | 1 | 1% | Protocol Buffers |
reserved | 1 | 1% | Protocol Buffers |
oneof | 1 | 1% | Protocol Buffers |
repeated | 1 | 1% | Protocol Buffers |
utcl_seconds_between | 1 | 1% | ABAP |
utcl_current | 1 | 1% | ABAP |
utcl_add_seconds | 1 | 1% | ABAP |
unbounded | 1 | 1% | ABAP |
tstmpl_to_utcl | 1 | 1% | ABAP |
tstmpl_from_utcl | 1 | 1% | ABAP |
tstmp_to_tims | 1 | 1% | ABAP |
tstmp_to_dst | 1 | 1% | ABAP |
tstmp_to_dats | 1 | 1% | ABAP |
trace-entry | 1 | 1% | ABAP |
to_clob | 1 | 1% | ABAP |
to_blob | 1 | 1% | ABAP |
tims_to_timn | 1 | 1% | ABAP |
tims_from_timn | 1 | 1% | ABAP |
sybase | 1 | 1% | ABAP |
subtotal | 1 | 1% | ABAP |
string_agg | 1 | 1% | ABAP |
stddev | 1 | 1% | ABAP |
start | 1 | 1% | ABAP |
spantree | 1 | 1% | ABAP |
siblings | 1 | 1% | ABAP |
shortdump | 1 | 1% | ABAP |
sets | 1 | 1% | ABAP |
session | 1 | 1% | ABAP |
save | 1 | 1% | ABAP |
sap_system_date | 1 | 1% | ABAP |
row_number | 1 | 1% | ABAP |
row | 1 | 1% | ABAP |
root | 1 | 1% | ABAP |
responses | 1 | 1% | ABAP |
response | 1 | 1% | ABAP |
reported | 1 | 1% | ABAP |
replace_regexpr | 1 | 1% | ABAP |
redirected | 1 | 1% | ABAP |
rank | 1 | 1% | ABAP |
projection | 1 | 1% | ABAP |
product | 1 | 1% | ABAP |
privileged | 1 | 1% | ABAP |
preceding | 1 | 1% | ABAP |
pfcg_mapping | 1 | 1% | ABAP |
period | 1 | 1% | ABAP |
pcre | 1 | 1% | ABAP |
parents | 1 | 1% | ABAP |
parent | 1 | 1% | ABAP |
over | 1 | 1% | ABAP |
orphans | 1 | 1% | ABAP |
operations | 1 | 1% | ABAP |
one | 1 | 1% | ABAP |
occurrences_regexpr | 1 | 1% | ABAP |
ntile | 1 | 1% | ABAP |
nodetype | 1 | 1% | ABAP |
mssqlnt | 1 | 1% | ABAP |
median | 1 | 1% | ABAP |
measures | 1 | 1% | ABAP |
matched | 1 | 1% | ABAP |
mapped | 1 | 1% | ABAP |
locks | 1 | 1% | ABAP |
locale_sap | 1 | 1% | ABAP |
link | 1 | 1% | ABAP |
like_regexpr | 1 | 1% | ABAP |
leaves | 1 | 1% | ABAP |
lead | 1 | 1% | ABAP |
last_value | 1 | 1% | ABAP |
lag | 1 | 1% | ABAP |
indicators | 1 | 1% | ABAP |
incremental | 1 | 1% | ABAP |
hierarchy_siblings | 1 | 1% | ABAP |
hierarchy_descendants_aggregate | 1 | 1% | ABAP |
hierarchy_descendants | 1 | 1% | ABAP |
hierarchy_ancestors_aggregate | 1 | 1% | ABAP |
hierarchy_ancestors | 1 | 1% | ABAP |
hierarchy | 1 | 1% | ABAP |
grouping | 1 | 1% | ABAP |
fractional | 1 | 1% | ABAP |
following | 1 | 1% | ABAP |
fltp_to_dec | 1 | 1% | ABAP |
first_value | 1 | 1% | ABAP |
finalize | 1 | 1% | ABAP |
failed | 1 | 1% | ABAP |
entities | 1 | 1% | ABAP |
discarding | 1 | 1% | ABAP |
deterministic | 1 | 1% | ABAP |
depth | 1 | 1% | ABAP |
dense_rank | 1 | 1% | ABAP |
ddl | 1 | 1% | ABAP |
db6 | 1 | 1% | ABAP |
db2 | 1 | 1% | ABAP |
dats_to_datn | 1 | 1% | ABAP |
dats_tims_to_tstmp | 1 | 1% | ABAP |
dats_from_datn | 1 | 1% | ABAP |
datn_days_between | 1 | 1% | ABAP |
datn_add_months | 1 | 1% | ABAP |
datn_add_days | 1 | 1% | ABAP |
cycles | 1 | 1% | ABAP |
corr_spearman | 1 | 1% | ABAP |
corr | 1 | 1% | ABAP |
clients | 1 | 1% | ABAP |
child | 1 | 1% | ABAP |
check_before_save | 1 | 1% | ABAP |
cds_client | 1 | 1% | ABAP |
cds | 1 | 1% | ABAP |
breakup | 1 | 1% | ABAP |
behavior | 1 | 1% | ABAP |
balance | 1 | 1% | ABAP |
associations | 1 | 1% | ABAP |
as400 | 1 | 1% | ABAP |
as_geo_json | 1 | 1% | ABAP |
applicationuser | 1 | 1% | ABAP |
amdp | 1 | 1% | ABAP |
allowed | 1 | 1% | ABAP |
allow_precision_loss | 1 | 1% | ABAP |
adjust_numbers | 1 | 1% | ABAP |
adabas | 1 | 1% | ABAP |
action | 1 | 1% | ABAP |
abap_user_timezone | 1 | 1% | ABAP |
abap_system_timezone | 1 | 1% | ABAP |
zone | 1 | 1% | ABAP |
zero | 1 | 1% | ABAP |
yymmdd | 1 | 1% | ABAP |
yellow | 1 | 1% | ABAP |
xsd | 1 | 1% | ABAP |
writer | 1 | 1% | ABAP |
write | 1 | 1% | ABAP |
work | 1 | 1% | ABAP |
with-title | 1 | 1% | ABAP |
without | 1 | 1% | ABAP |
with-heading | 1 | 1% | ABAP |
windows | 1 | 1% | ABAP |
whenever | 1 | 1% | ABAP |
warning | 1 | 1% | ABAP |
visible | 1 | 1% | ABAP |
view | 1 | 1% | ABAP |
via | 1 | 1% | ABAP |
verification-message | 1 | 1% | ABAP |
varying | 1 | 1% | ABAP |
vary | 1 | 1% | ABAP |
value-request | 1 | 1% | ABAP |
valid | 1 | 1% | ABAP |
utf-8 | 1 | 1% | ABAP |
user-command | 1 | 1% | ABAP |
user | 1 | 1% | ABAP |
upper | 1 | 1% | ABAP |
up | 1 | 1% | ABAP |
unwind | 1 | 1% | ABAP |
unpack | 1 | 1% | ABAP |
unix | 1 | 1% | ABAP |
unit_conversion | 1 | 1% | ABAP |
unicode | 1 | 1% | ABAP |
under | 1 | 1% | ABAP |
unassign | 1 | 1% | ABAP |
uline | 1 | 1% | ABAP |
types | 1 | 1% | ABAP |
type-pools | 1 | 1% | ABAP |
type-pool | 1 | 1% | ABAP |
tstmp_seconds_between | 1 | 1% | ABAP |
tstmp_is_valid | 1 | 1% | ABAP |
tstmp_current_utctimestamp | 1 | 1% | ABAP |
tstmp_add_seconds | 1 | 1% | ABAP |
truncation | 1 | 1% | ABAP |
truncate | 1 | 1% | ABAP |
trmac | 1 | 1% | ABAP |
transporting | 1 | 1% | ABAP |
translate | 1 | 1% | ABAP |
transformation | 1 | 1% | ABAP |
transfer | 1 | 1% | ABAP |
trailing | 1 | 1% | ABAP |
trace-table | 1 | 1% | ABAP |
trace-file | 1 | 1% | ABAP |
top-of-page | 1 | 1% | ABAP |
top-lines | 1 | 1% | ABAP |
tokens | 1 | 1% | ABAP |
tokenization | 1 | 1% | ABAP |
title-lines | 1 | 1% | ABAP |
titlebar | 1 | 1% | ABAP |
title | 1 | 1% | ABAP |
tims_is_valid | 1 | 1% | ABAP |
timezone | 1 | 1% | ABAP |
timestamp | 1 | 1% | ABAP |
times | 1 | 1% | ABAP |
textpool | 1 | 1% | ABAP |
text | 1 | 1% | ABAP |
test-seam | 1 | 1% | ABAP |
test-injection | 1 | 1% | ABAP |
testing | 1 | 1% | ABAP |
test | 1 | 1% | ABAP |
tasks | 1 | 1% | ABAP |
target | 1 | 1% | ABAP |
tabstrip | 1 | 1% | ABAP |
tableview | 1 | 1% | ABAP |
tables | 1 | 1% | ABAP |
tabbed | 1 | 1% | ABAP |
tab | 1 | 1% | ABAP |
system-exit | 1 | 1% | ABAP |
system-exceptions | 1 | 1% | ABAP |
system-call | 1 | 1% | ABAP |
syntax-trace | 1 | 1% | ABAP |
syntax-check | 1 | 1% | ABAP |
syncpoints | 1 | 1% | ABAP |
symbol | 1 | 1% | ABAP |
switchstates | 1 | 1% | ABAP |
suppress | 1 | 1% | ABAP |
supply | 1 | 1% | ABAP |
supplied | 1 | 1% | ABAP |
summing | 1 | 1% | ABAP |
summary | 1 | 1% | ABAP |
suffix | 1 | 1% | ABAP |
subtract-corresponding | 1 | 1% | ABAP |
subtract | 1 | 1% | ABAP |
subscreen | 1 | 1% | ABAP |
subroutine | 1 | 1% | ABAP |
submit | 1 | 1% | ABAP |
submatches | 1 | 1% | ABAP |
subkey | 1 | 1% | ABAP |
style | 1 | 1% | ABAP |
structures | 1 | 1% | ABAP |
structure | 1 | 1% | ABAP |
stop | 1 | 1% | ABAP |
step-loop | 1 | 1% | ABAP |
statusinfo | 1 | 1% | ABAP |
statics | 1 | 1% | ABAP |
statements | 1 | 1% | ABAP |
statement | 1 | 1% | ABAP |
start-of-selection | 1 | 1% | ABAP |
start-of-editing | 1 | 1% | ABAP |
starting | 1 | 1% | ABAP |
standard | 1 | 1% | ABAP |
stamp | 1 | 1% | ABAP |
sqlscript | 1 | 1% | ABAP |
spots | 1 | 1% | ABAP |
spool | 1 | 1% | ABAP |
split | 1 | 1% | ABAP |
specified | 1 | 1% | ABAP |
sorted | 1 | 1% | ABAP |
sortable | 1 | 1% | ABAP |
skipping | 1 | 1% | ABAP |
sign_as_postfix | 1 | 1% | ABAP |
shortdump-id | 1 | 1% | ABAP |
shift | 1 | 1% | ABAP |
separated | 1 | 1% | ABAP |
send | 1 | 1% | ABAP |
select-options | 1 | 1% | ABAP |
selection-table | 1 | 1% | ABAP |
selection-sets | 1 | 1% | ABAP |
selection-set | 1 | 1% | ABAP |
selection-screen | 1 | 1% | ABAP |
selections | 1 | 1% | ABAP |
selection | 1 | 1% | ABAP |
seconds | 1 | 1% | ABAP |
secondary | 1 | 1% | ABAP |
scrolling | 1 | 1% | ABAP |
scroll-boundary | 1 | 1% | ABAP |
scroll | 1 | 1% | ABAP |
scientific_with_leading_zero | 1 | 1% | ABAP |
scale_preserving_scientific | 1 | 1% | ABAP |
scale_preserving | 1 | 1% | ABAP |
saving | 1 | 1% | ABAP |
sap-spool | 1 | 1% | ABAP |
sap | 1 | 1% | ABAP |
run | 1 | 1% | ABAP |
rtrim | 1 | 1% | ABAP |
rpad | 1 | 1% | ABAP |
role | 1 | 1% | ABAP |
rmc_system_failure | 1 | 1% | ABAP |
rmc_invalid_status | 1 | 1% | ABAP |
rmc_communication_failure | 1 | 1% | ABAP |
risk | 1 | 1% | ABAP |
rightspace | 1 | 1% | ABAP |
rightplus | 1 | 1% | ABAP |
right-justified | 1 | 1% | ABAP |
returncode | 1 | 1% | ABAP |
resume | 1 | 1% | ABAP |
resumable | 1 | 1% | ABAP |
results | 1 | 1% | ABAP |
result | 1 | 1% | ABAP |
responsible | 1 | 1% | ABAP |
respecting | 1 | 1% | ABAP |
resolution | 1 | 1% | ABAP |
reset | 1 | 1% | ABAP |
reserve | 1 | 1% | ABAP |
requested | 1 | 1% | ABAP |
request | 1 | 1% | ABAP |
replacing | 1 | 1% | ABAP |
replacement | 1 | 1% | ABAP |
replace | 1 | 1% | ABAP |
renaming | 1 | 1% | ABAP |
remote | 1 | 1% | ABAP |
regex | 1 | 1% | ABAP |
refresh | 1 | 1% | ABAP |
reference | 1 | 1% | ABAP |
redefinition | 1 | 1% | ABAP |
red | 1 | 1% | ABAP |
receiving | 1 | 1% | ABAP |
received | 1 | 1% | ABAP |
read-only | 1 | 1% | ABAP |
reader | 1 | 1% | ABAP |
read | 1 | 1% | ABAP |
ranges | 1 | 1% | ABAP |
raising | 1 | 1% | ABAP |
radiobutton | 1 | 1% | ABAP |
quickinfo | 1 | 1% | ABAP |
queue-only | 1 | 1% | ABAP |
put | 1 | 1% | ABAP |
pushbutton | 1 | 1% | ABAP |
push | 1 | 1% | ABAP |
provide | 1 | 1% | ABAP |
print-control | 1 | 1% | ABAP |
primary | 1 | 1% | ABAP |
preserving | 1 | 1% | ABAP |
preferred | 1 | 1% | ABAP |
precompiled | 1 | 1% | ABAP |
pragmas | 1 | 1% | ABAP |
position | 1 | 1% | ABAP |
pos_low | 1 | 1% | ABAP |
pos_high | 1 | 1% | ABAP |
pool | 1 | 1% | ABAP |
places | 1 | 1% | ABAP |
pink | 1 | 1% | ABAP |
pf-status | 1 | 1% | ABAP |
pf9 | 1 | 1% | ABAP |
pf8 | 1 | 1% | ABAP |
pf7 | 1 | 1% | ABAP |
pf6 | 1 | 1% | ABAP |
pf5 | 1 | 1% | ABAP |
pf4 | 1 | 1% | ABAP |
pf3 | 1 | 1% | ABAP |
pf2 | 1 | 1% | ABAP |
pf15 | 1 | 1% | ABAP |
pf14 | 1 | 1% | ABAP |
pf13 | 1 | 1% | ABAP |
pf12 | 1 | 1% | ABAP |
pf11 | 1 | 1% | ABAP |
pf10 | 1 | 1% | ABAP |
pf1 | 1 | 1% | ABAP |
person | 1 | 1% | ABAP |
performing | 1 | 1% | ABAP |
perform | 1 | 1% | ABAP |
percentage | 1 | 1% | ABAP |
pattern | 1 | 1% | ABAP |
partially | 1 | 1% | ABAP |
parameter-table | 1 | 1% | ABAP |
parameters | 1 | 1% | ABAP |
pages | 1 | 1% | ABAP |
page | 1 | 1% | ABAP |
padding | 1 | 1% | ABAP |
pad | 1 | 1% | ABAP |
pack | 1 | 1% | ABAP |
overlay | 1 | 1% | ABAP |
overflow | 1 | 1% | ABAP |
output-length | 1 | 1% | ABAP |
other | 1 | 1% | ABAP |
options | 1 | 1% | ABAP |
ole | 1 | 1% | ABAP |
occurs | 1 | 1% | ABAP |
occurrences | 1 | 1% | ABAP |
occurrence | 1 | 1% | ABAP |
obligatory | 1 | 1% | ABAP |
objects | 1 | 1% | ABAP |
non-unique | 1 | 1% | ABAP |
non-unicode | 1 | 1% | ABAP |
nodes | 1 | 1% | ABAP |
node | 1 | 1% | ABAP |
no-zero | 1 | 1% | ABAP |
no-topofpage | 1 | 1% | ABAP |
no-title | 1 | 1% | ABAP |
no-sign | 1 | 1% | ABAP |
no-scrolling | 1 | 1% | ABAP |
no-heading | 1 | 1% | ABAP |
no-grouping | 1 | 1% | ABAP |
no-gaps | 1 | 1% | ABAP |
no-gap | 1 | 1% | ABAP |
no-extension | 1 | 1% | ABAP |
no-display | 1 | 1% | ABAP |
new-section | 1 | 1% | ABAP |
new-page | 1 | 1% | ABAP |
new-line | 1 | 1% | ABAP |
nesting | 1 | 1% | ABAP |
nested | 1 | 1% | ABAP |
nametab | 1 | 1% | ABAP |
name | 1 | 1% | ABAP |
multiply-corresponding | 1 | 1% | ABAP |
multiply | 1 | 1% | ABAP |
move-corresponding | 1 | 1% | ABAP |
modify | 1 | 1% | ABAP |
modif | 1 | 1% | ABAP |
mode | 1 | 1% | ABAP |
mmddyy | 1 | 1% | ABAP |
mm/dd/yyyy | 1 | 1% | ABAP |
mm/dd/yy | 1 | 1% | ABAP |
minor-id | 1 | 1% | ABAP |
minimum | 1 | 1% | ABAP |
methods | 1 | 1% | ABAP |
messaging | 1 | 1% | ABAP |
messages | 1 | 1% | ABAP |
message-id | 1 | 1% | ABAP |
mesh | 1 | 1% | ABAP |
memory | 1 | 1% | ABAP |
members | 1 | 1% | ABAP |
maximum | 1 | 1% | ABAP |
matchcode | 1 | 1% | ABAP |
mask | 1 | 1% | ABAP |
mark | 1 | 1% | ABAP |
margin | 1 | 1% | ABAP |
major-id | 1 | 1% | ABAP |
main | 1 | 1% | ABAP |
1 | 1% | ABAP | |
ltrim | 1 | 1% | ABAP |
lpi | 1 | 1% | ABAP |
lpad | 1 | 1% | ABAP |
lower | 1 | 1% | ABAP |
low | 1 | 1% | ABAP |
log-point | 1 | 1% | ABAP |
logical | 1 | 1% | ABAP |
logfile | 1 | 1% | ABAP |
locator | 1 | 1% | ABAP |
lob | 1 | 1% | ABAP |
load-of-program | 1 | 1% | ABAP |
llang | 1 | 1% | ABAP |
little | 1 | 1% | ABAP |
list-processing | 1 | 1% | ABAP |
listbox | 1 | 1% | ABAP |
line-size | 1 | 1% | ABAP |
line-selection | 1 | 1% | ABAP |
linefeed | 1 | 1% | ABAP |
line-count | 1 | 1% | ABAP |
lines | 1 | 1% | ABAP |
line | 1 | 1% | ABAP |
levels | 1 | 1% | ABAP |
level | 1 | 1% | ABAP |
legacy | 1 | 1% | ABAP |
leftspace | 1 | 1% | ABAP |
leftplus | 1 | 1% | ABAP |
left-justified | 1 | 1% | ABAP |
leave | 1 | 1% | ABAP |
leading | 1 | 1% | ABAP |
layout | 1 | 1% | ABAP |
late | 1 | 1% | ABAP |
language | 1 | 1% | ABAP |
keywords | 1 | 1% | ABAP |
keys | 1 | 1% | ABAP |
key | 1 | 1% | ABAP |
kernel | 1 | 1% | ABAP |
keeping | 1 | 1% | ABAP |
job | 1 | 1% | ABAP |
iso | 1 | 1% | ABAP |
inverted-date | 1 | 1% | ABAP |
inverse | 1 | 1% | ABAP |
intervals | 1 | 1% | ABAP |
interfaces | 1 | 1% | ABAP |
interface-pool | 1 | 1% | ABAP |
intensified | 1 | 1% | ABAP |
instr | 1 | 1% | ABAP |
instances | 1 | 1% | ABAP |
initialization | 1 | 1% | ABAP |
inheriting | 1 | 1% | ABAP |
infotypes | 1 | 1% | ABAP |
index-line | 1 | 1% | ABAP |
increment | 1 | 1% | ABAP |
including | 1 | 1% | ABAP |
includes | 1 | 1% | ABAP |
incl | 1 | 1% | ABAP |
inactive | 1 | 1% | ABAP |
importing | 1 | 1% | ABAP |
implemented | 1 | 1% | ABAP |
implementations | 1 | 1% | ABAP |
implementation | 1 | 1% | ABAP |
immediately | 1 | 1% | ABAP |
ignoring | 1 | 1% | ABAP |
ignore | 1 | 1% | ABAP |
ids | 1 | 1% | ABAP |
identifier | 1 | 1% | ABAP |
identification | 1 | 1% | ABAP |
icon | 1 | 1% | ABAP |
hotspot | 1 | 1% | ABAP |
hold | 1 | 1% | ABAP |
high | 1 | 1% | ABAP |
hide | 1 | 1% | ABAP |
hextobin | 1 | 1% | ABAP |
help-request | 1 | 1% | ABAP |
help-id | 1 | 1% | ABAP |
head-lines | 1 | 1% | ABAP |
headers | 1 | 1% | ABAP |
header | 1 | 1% | ABAP |
hdb | 1 | 1% | ABAP |
hashed | 1 | 1% | ABAP |
harmless | 1 | 1% | ABAP |
handler | 1 | 1% | ABAP |
handle | 1 | 1% | ABAP |
groups | 1 | 1% | ABAP |
green | 1 | 1% | ABAP |
grant | 1 | 1% | ABAP |
gkge | 1 | 1% | ABAP |
gkeq | 1 | 1% | ABAP |
giving | 1 | 1% | ABAP |
gaps | 1 | 1% | ABAP |
further | 1 | 1% | ABAP |
function-pool | 1 | 1% | ABAP |
functionality | 1 | 1% | ABAP |
friends | 1 | 1% | ABAP |
free | 1 | 1% | ABAP |
frames | 1 | 1% | ABAP |
frame | 1 | 1% | ABAP |
found | 1 | 1% | ABAP |
form | 1 | 1% | ABAP |
font | 1 | 1% | ABAP |
fkge | 1 | 1% | ABAP |
fkeq | 1 | 1% | ABAP |
fixed-point | 1 | 1% | ABAP |
first-line | 1 | 1% | ABAP |
filter-table | 1 | 1% | ABAP |
filters | 1 | 1% | ABAP |
field-symbols | 1 | 1% | ABAP |
field-symbol | 1 | 1% | ABAP |
fields | 1 | 1% | ABAP |
field-groups | 1 | 1% | ABAP |
fetch | 1 | 1% | ABAP |
extract | 1 | 1% | ABAP |
extended | 1 | 1% | ABAP |
exporting | 1 | 1% | ABAP |
exponent | 1 | 1% | ABAP |
expiration | 1 | 1% | ABAP |
expanding | 1 | 1% | ABAP |
expand | 1 | 1% | ABAP |
exit-command | 1 | 1% | ABAP |
execute | 1 | 1% | ABAP |
exec | 1 | 1% | ABAP |
excluding | 1 | 1% | ABAP |
exclude | 1 | 1% | ABAP |
exception-table | 1 | 1% | ABAP |
exact | 1 | 1% | ABAP |
events | 1 | 1% | ABAP |
escaping | 1 | 1% | ABAP |
errors | 1 | 1% | ABAP |
errormessage | 1 | 1% | ABAP |
equiv | 1 | 1% | ABAP |
environment | 1 | 1% | ABAP |
entries | 1 | 1% | ABAP |
enhancement-section | 1 | 1% | ABAP |
enhancements | 1 | 1% | ABAP |
enhancement-point | 1 | 1% | ABAP |
enhancement | 1 | 1% | ABAP |
engineering | 1 | 1% | ABAP |
endtry | 1 | 1% | ABAP |
end-test-seam | 1 | 1% | ABAP |
end-test-injection | 1 | 1% | ABAP |
endprovide | 1 | 1% | ABAP |
endon | 1 | 1% | ABAP |
end-of-selection | 1 | 1% | ABAP |
end-of-page | 1 | 1% | ABAP |
end-of-file | 1 | 1% | ABAP |
end-of-editing | 1 | 1% | ABAP |
end-of-definition | 1 | 1% | ABAP |
endmethod | 1 | 1% | ABAP |
endloop | 1 | 1% | ABAP |
end-lines | 1 | 1% | ABAP |
ending | 1 | 1% | ABAP |
endian | 1 | 1% | ABAP |
endform | 1 | 1% | ABAP |
endexec | 1 | 1% | ABAP |
end-enhancement-section | 1 | 1% | ABAP |
endenhancement | 1 | 1% | ABAP |
enddo | 1 | 1% | ABAP |
endchain | 1 | 1% | ABAP |
endcatch | 1 | 1% | ABAP |
endat | 1 | 1% | ABAP |
enabling | 1 | 1% | ABAP |
enabled | 1 | 1% | ABAP |
empty | 1 | 1% | ABAP |
editor-call | 1 | 1% | ABAP |
edit | 1 | 1% | ABAP |
dynpro | 1 | 1% | ABAP |
during | 1 | 1% | ABAP |
duration | 1 | 1% | ABAP |
duplicates | 1 | 1% | ABAP |
duplicate | 1 | 1% | ABAP |
dummy | 1 | 1% | ABAP |
division | 1 | 1% | ABAP |
divide-corresponding | 1 | 1% | ABAP |
divide | 1 | 1% | ABAP |
display-mode | 1 | 1% | ABAP |
display | 1 | 1% | ABAP |
directory | 1 | 1% | ABAP |
dialog | 1 | 1% | ABAP |
detail | 1 | 1% | ABAP |
destination | 1 | 1% | ABAP |
department | 1 | 1% | ABAP |
demand | 1 | 1% | ABAP |
deleting | 1 | 1% | ABAP |
definition | 1 | 1% | ABAP |
defining | 1 | 1% | ABAP |
deep | 1 | 1% | ABAP |
declarations | 1 | 1% | ABAP |
decimals | 1 | 1% | ABAP |
decimal_shift | 1 | 1% | ABAP |
deallocate | 1 | 1% | ABAP |
ddmmyy | 1 | 1% | ABAP |
dd/mm/yyyy | 1 | 1% | ABAP |
dd/mm/yy | 1 | 1% | ABAP |
daylight | 1 | 1% | ABAP |
dats_is_valid | 1 | 1% | ABAP |
dats_days_between | 1 | 1% | ABAP |
dats_add_months | 1 | 1% | ABAP |
dats_add_days | 1 | 1% | ABAP |
date | 1 | 1% | ABAP |
dataset | 1 | 1% | ABAP |
datainfo | 1 | 1% | ABAP |
dangerous | 1 | 1% | ABAP |
customer-function | 1 | 1% | ABAP |
customer | 1 | 1% | ABAP |
cursor-selection | 1 | 1% | ABAP |
cursor | 1 | 1% | ABAP |
current | 1 | 1% | ABAP |
currency_conversion | 1 | 1% | ABAP |
currency | 1 | 1% | ABAP |
critical | 1 | 1% | ABAP |
creating | 1 | 1% | ABAP |
cpi | 1 | 1% | ABAP |
country | 1 | 1% | ABAP |
corresponding | 1 | 1% | ABAP |
copies | 1 | 1% | ABAP |
convert | 1 | 1% | ABAP |
conversion | 1 | 1% | ABAP |
conv | 1 | 1% | ABAP |
controls | 1 | 1% | ABAP |
control | 1 | 1% | ABAP |
contexts | 1 | 1% | ABAP |
constants | 1 | 1% | ABAP |
connection | 1 | 1% | ABAP |
connect | 1 | 1% | ABAP |
condition | 1 | 1% | ABAP |
condense | 1 | 1% | ABAP |
concatenate | 1 | 1% | ABAP |
concat_with_space | 1 | 1% | ABAP |
concat | 1 | 1% | ABAP |
compute | 1 | 1% | ABAP |
components | 1 | 1% | ABAP |
comparing | 1 | 1% | ABAP |
communication | 1 | 1% | ABAP |
common | 1 | 1% | ABAP |
comments | 1 | 1% | ABAP |
comment | 1 | 1% | ABAP |
columns | 1 | 1% | ABAP |
column | 1 | 1% | ABAP |
color | 1 | 1% | ABAP |
col_total | 1 | 1% | ABAP |
col_positive | 1 | 1% | ABAP |
col_normal | 1 | 1% | ABAP |
col_negative | 1 | 1% | ABAP |
col_key | 1 | 1% | ABAP |
col_heading | 1 | 1% | ABAP |
col_group | 1 | 1% | ABAP |
col_background | 1 | 1% | ABAP |
coding | 1 | 1% | ABAP |
coalesce | 1 | 1% | ABAP |
close | 1 | 1% | ABAP |
clock | 1 | 1% | ABAP |
clob | 1 | 1% | ABAP |
class-pool | 1 | 1% | ABAP |
class-methods | 1 | 1% | ABAP |
class-events | 1 | 1% | ABAP |
class-data | 1 | 1% | ABAP |
class-coding | 1 | 1% | ABAP |
circular | 1 | 1% | ABAP |
ci_ | 1 | 1% | ABAP |
checkbox | 1 | 1% | ABAP |
check | 1 | 1% | ABAP |
char-to-hex | 1 | 1% | ABAP |
character | 1 | 1% | ABAP |
channels | 1 | 1% | ABAP |
changing | 1 | 1% | ABAP |
change | 1 | 1% | ABAP |
chain-request | 1 | 1% | ABAP |
chain-input | 1 | 1% | ABAP |
chain | 1 | 1% | ABAP |
centered | 1 | 1% | ABAP |
center | 1 | 1% | ABAP |
casting | 1 | 1% | ABAP |
calling | 1 | 1% | ABAP |
byte-order | 1 | 1% | ABAP |
bypassing | 1 | 1% | ABAP |
break-point | 1 | 1% | ABAP |
bounds | 1 | 1% | ABAP |
boundaries | 1 | 1% | ABAP |
bound | 1 | 1% | ABAP |
blue | 1 | 1% | ABAP |
blocks | 1 | 1% | ABAP |
blanks | 1 | 1% | ABAP |
blank | 1 | 1% | ABAP |
black | 1 | 1% | ABAP |
bintohex | 1 | 1% | ABAP |
big | 1 | 1% | ABAP |
badi | 1 | 1% | ABAP |
backward | 1 | 1% | ABAP |
backup | 1 | 1% | ABAP |
background | 1 | 1% | ABAP |
back | 1 | 1% | ABAP |
avg | 1 | 1% | ABAP |
authority-check | 1 | 1% | ABAP |
authority | 1 | 1% | ABAP |
asynchronous | 1 | 1% | ABAP |
association | 1 | 1% | ABAP |
assigning | 1 | 1% | ABAP |
assigned | 1 | 1% | ABAP |
aspect | 1 | 1% | ABAP |
arithmetic | 1 | 1% | ABAP |
archive | 1 | 1% | ABAP |
application | 1 | 1% | ABAP |
appending | 1 | 1% | ABAP |
appendage | 1 | 1% | ABAP |
analyzer | 1 | 1% | ABAP |
analysis | 1 | 1% | ABAP |
alpha | 1 | 1% | ABAP |
allocate | 1 | 1% | ABAP |
aliases | 1 | 1% | ABAP |
adjacent | 1 | 1% | ABAP |
add-corresponding | 1 | 1% | ABAP |
activation | 1 | 1% | ABAP |
according | 1 | 1% | ABAP |
accepting | 1 | 1% | ABAP |
abbreviated | 1 | 1% | ABAP |
abap-source | 1 | 1% | ABAP |
mtlo | 1 | 1% | MIPS architecture |
mthi | 1 | 1% | MIPS architecture |
mflo | 1 | 1% | MIPS architecture |
mfhi | 1 | 1% | MIPS architecture |
sw | 1 | 1% | MIPS architecture |
sh | 1 | 1% | MIPS architecture |
sb | 1 | 1% | MIPS architecture |
la | 1 | 1% | MIPS architecture |
li | 1 | 1% | MIPS architecture |
lw | 1 | 1% | MIPS architecture |
lhu | 1 | 1% | MIPS architecture |
lh | 1 | 1% | MIPS architecture |
lbu | 1 | 1% | MIPS architecture |
lb | 1 | 1% | MIPS architecture |
jr | 1 | 1% | MIPS architecture |
jalr | 1 | 1% | MIPS architecture |
jal | 1 | 1% | MIPS architecture |
j | 1 | 1% | MIPS architecture |
bne | 1 | 1% | MIPS architecture |
blez | 1 | 1% | MIPS architecture |
bgtz | 1 | 1% | MIPS architecture |
beq | 1 | 1% | MIPS architecture |
sltiu | 1 | 1% | MIPS architecture |
sltu | 1 | 1% | MIPS architecture |
slti | 1 | 1% | MIPS architecture |
slt | 1 | 1% | MIPS architecture |
llo | 1 | 1% | MIPS architecture |
lho | 1 | 1% | MIPS architecture |
xori | 1 | 1% | MIPS architecture |
subu | 1 | 1% | MIPS architecture |
srlv | 1 | 1% | MIPS architecture |
srav | 1 | 1% | MIPS architecture |
slv | 1 | 1% | MIPS architecture |
ori | 1 | 1% | MIPS architecture |
multu | 1 | 1% | MIPS architecture |
mult | 1 | 1% | MIPS architecture |
divu | 1 | 1% | MIPS architecture |
andi | 1 | 1% | MIPS architecture |
addiu | 1 | 1% | MIPS architecture |
addi | 1 | 1% | MIPS architecture |
addu | 1 | 1% | MIPS architecture |
syscall | 1 | 1% | MIPS architecture |
.text | 1 | 1% | MIPS architecture |
.data | 1 | 1% | MIPS architecture |
QUERY | 1 | 1% | SQLite |
GLOB | 1 | 1% | SQLite |
FAIL | 1 | 1% | SQLite |
CONFLICT | 1 | 1% | SQLite |
AUTOINCREMENT | 1 | 1% | SQLite |
ATTACH | 1 | 1% | SQLite |
zip | 1 | 1% | Chapel |
subdomain | 1 | 1% | Chapel |
sparse | 1 | 1% | Chapel |
serial | 1 | 1% | Chapel |
iter | 1 | 1% | Chapel |
domain | 1 | 1% | Chapel |
dmapped | 1 | 1% | Chapel |
coforall | 1 | 1% | Chapel |
cobegin | 1 | 1% | Chapel |
doctype | 1 | 1% | Pug |
\= | 1 | 1% | Visual Basic .NET |
#If | 1 | 1% | Visual Basic .NET |
#End | 1 | 1% | Visual Basic .NET |
#ElseIf | 1 | 1% | Visual Basic .NET |
#Else | 1 | 1% | Visual Basic .NET |
#Const | 1 | 1% | Visual Basic .NET |
REM | 1 | 1% | Visual Basic .NET |
variable | 1 | 1% | VHDL |
units | 1 | 1% | VHDL |
unaffected | 1 | 1% | VHDL |
transport | 1 | 1% | VHDL |
sla | 1 | 1% | VHDL |
severity | 1 | 1% | VHDL |
ror | 1 | 1% | VHDL |
rol | 1 | 1% | VHDL |
postponed | 1 | 1% | VHDL |
port | 1 | 1% | VHDL |
linkage | 1 | 1% | VHDL |
inertial | 1 | 1% | VHDL |
impure | 1 | 1% | VHDL |
guarded | 1 | 1% | VHDL |
bus | 1 | 1% | VHDL |
attribute | 1 | 1% | VHDL |
architecture | 1 | 1% | VHDL |
fragment | 1 | 1% | GraphQL |
scalar | 1 | 1% | GraphQL |
directive | 1 | 1% | GraphQL |
subscription | 1 | 1% | GraphQL |
mutation | 1 | 1% | GraphQL |
query | 1 | 1% | GraphQL |
UNTRACED | 1 | 1% | Modula-3 |
UNSAFE | 1 | 1% | Modula-3 |
TYPECASE | 1 | 1% | Modula-3 |
REVEAL | 1 | 1% | Modula-3 |
READONLY | 1 | 1% | Modula-3 |
RAISES | 1 | 1% | Modula-3 |
OVERRIDES | 1 | 1% | Modula-3 |
METHODS | 1 | 1% | Modula-3 |
GENERIC | 1 | 1% | Modula-3 |
EXPORTS | 1 | 1% | Modula-3 |
EVAL | 1 | 1% | Modula-3 |
ELSIF | 1 | 1% | Modula-3 |
BRANDED | 1 | 1% | Modula-3 |
BITS | 1 | 1% | Modula-3 |
upcast | 1 | 1% | F# |
tailcall | 1 | 1% | F# |
member | 1 | 1% | F# |
lxor | 1 | 1% | F# |
lsr | 1 | 1% | F# |
lsl | 1 | 1% | F# |
lor | 1 | 1% | F# |
land | 1 | 1% | F# |
eager | 1 | 1% | F# |
downcast | 1 | 1% | F# |
asr | 1 | 1% | F# |
uninitialized | 1 | 1% | Crystal |
lib | 1 | 1% | Crystal |
is_a? | 1 | 1% | Crystal |
pointerof | 1 | 1% | Crystal |
instance_sizeof | 1 | 1% | Crystal |
nil? | 1 | 1% | Crystal |
pri | 1 | 1% | Reason |
nonrec | 1 | 1% | Reason |
esfun | 1 | 1% | Reason |
strength | 1 | 1% | Verilog |
suicide | 1 | 1% | Solidity |
payable | 1 | 1% | Solidity |
inherited | 1 | 1% | Solidity |
ufixed248x8 | 1 | 1% | Solidity |
ufixed240x16 | 1 | 1% | Solidity |
ufixed240x8 | 1 | 1% | Solidity |
ufixed232x24 | 1 | 1% | Solidity |
ufixed232x16 | 1 | 1% | Solidity |
ufixed232x8 | 1 | 1% | Solidity |
ufixed224x32 | 1 | 1% | Solidity |
ufixed224x24 | 1 | 1% | Solidity |
ufixed224x16 | 1 | 1% | Solidity |
ufixed224x8 | 1 | 1% | Solidity |
ufixed216x40 | 1 | 1% | Solidity |
ufixed216x32 | 1 | 1% | Solidity |
ufixed216x24 | 1 | 1% | Solidity |
ufixed216x16 | 1 | 1% | Solidity |
ufixed216x8 | 1 | 1% | Solidity |
ufixed208x48 | 1 | 1% | Solidity |
ufixed208x40 | 1 | 1% | Solidity |
ufixed208x32 | 1 | 1% | Solidity |
ufixed208x24 | 1 | 1% | Solidity |
ufixed208x16 | 1 | 1% | Solidity |
ufixed208x8 | 1 | 1% | Solidity |
ufixed200x56 | 1 | 1% | Solidity |
ufixed200x48 | 1 | 1% | Solidity |
ufixed200x40 | 1 | 1% | Solidity |
ufixed200x32 | 1 | 1% | Solidity |
ufixed200x24 | 1 | 1% | Solidity |
ufixed200x16 | 1 | 1% | Solidity |
ufixed200x8 | 1 | 1% | Solidity |
ufixed192x64 | 1 | 1% | Solidity |
ufixed192x56 | 1 | 1% | Solidity |
ufixed192x48 | 1 | 1% | Solidity |
ufixed192x40 | 1 | 1% | Solidity |
ufixed192x32 | 1 | 1% | Solidity |
ufixed192x24 | 1 | 1% | Solidity |
ufixed192x16 | 1 | 1% | Solidity |
ufixed192x8 | 1 | 1% | Solidity |
ufixed184x72 | 1 | 1% | Solidity |
ufixed184x64 | 1 | 1% | Solidity |
ufixed184x56 | 1 | 1% | Solidity |
ufixed184x48 | 1 | 1% | Solidity |
ufixed184x40 | 1 | 1% | Solidity |
ufixed184x32 | 1 | 1% | Solidity |
ufixed184x24 | 1 | 1% | Solidity |
ufixed184x16 | 1 | 1% | Solidity |
ufixed184x8 | 1 | 1% | Solidity |
ufixed176x80 | 1 | 1% | Solidity |
ufixed176x72 | 1 | 1% | Solidity |
ufixed176x64 | 1 | 1% | Solidity |
ufixed176x56 | 1 | 1% | Solidity |
ufixed176x48 | 1 | 1% | Solidity |
ufixed176x40 | 1 | 1% | Solidity |
ufixed176x32 | 1 | 1% | Solidity |
ufixed176x24 | 1 | 1% | Solidity |
ufixed176x16 | 1 | 1% | Solidity |
ufixed176x8 | 1 | 1% | Solidity |
ufixed168x88 | 1 | 1% | Solidity |
ufixed168x80 | 1 | 1% | Solidity |
ufixed168x72 | 1 | 1% | Solidity |
ufixed168x64 | 1 | 1% | Solidity |
ufixed168x56 | 1 | 1% | Solidity |
ufixed168x48 | 1 | 1% | Solidity |
ufixed168x40 | 1 | 1% | Solidity |
ufixed168x32 | 1 | 1% | Solidity |
ufixed168x24 | 1 | 1% | Solidity |
ufixed168x16 | 1 | 1% | Solidity |
ufixed168x8 | 1 | 1% | Solidity |
ufixed160x96 | 1 | 1% | Solidity |
ufixed160x88 | 1 | 1% | Solidity |
ufixed160x80 | 1 | 1% | Solidity |
ufixed160x72 | 1 | 1% | Solidity |
ufixed160x64 | 1 | 1% | Solidity |
ufixed160x56 | 1 | 1% | Solidity |
ufixed160x48 | 1 | 1% | Solidity |
ufixed160x40 | 1 | 1% | Solidity |
ufixed160x32 | 1 | 1% | Solidity |
ufixed160x24 | 1 | 1% | Solidity |
ufixed160x16 | 1 | 1% | Solidity |
ufixed160x8 | 1 | 1% | Solidity |
ufixed152x104 | 1 | 1% | Solidity |
ufixed152x96 | 1 | 1% | Solidity |
ufixed152x88 | 1 | 1% | Solidity |
ufixed152x80 | 1 | 1% | Solidity |
ufixed152x72 | 1 | 1% | Solidity |
ufixed152x64 | 1 | 1% | Solidity |
ufixed152x56 | 1 | 1% | Solidity |
ufixed152x48 | 1 | 1% | Solidity |
ufixed152x40 | 1 | 1% | Solidity |
ufixed152x32 | 1 | 1% | Solidity |
ufixed152x24 | 1 | 1% | Solidity |
ufixed152x16 | 1 | 1% | Solidity |
ufixed152x8 | 1 | 1% | Solidity |
ufixed144x112 | 1 | 1% | Solidity |
ufixed144x104 | 1 | 1% | Solidity |
ufixed144x96 | 1 | 1% | Solidity |
ufixed144x88 | 1 | 1% | Solidity |
ufixed144x80 | 1 | 1% | Solidity |
ufixed144x72 | 1 | 1% | Solidity |
ufixed144x64 | 1 | 1% | Solidity |
ufixed144x56 | 1 | 1% | Solidity |
ufixed144x48 | 1 | 1% | Solidity |
ufixed144x40 | 1 | 1% | Solidity |
ufixed144x32 | 1 | 1% | Solidity |
ufixed144x24 | 1 | 1% | Solidity |
ufixed144x16 | 1 | 1% | Solidity |
ufixed144x8 | 1 | 1% | Solidity |
ufixed136x120 | 1 | 1% | Solidity |
ufixed136x112 | 1 | 1% | Solidity |
ufixed136x104 | 1 | 1% | Solidity |
ufixed136x96 | 1 | 1% | Solidity |
ufixed136x88 | 1 | 1% | Solidity |
ufixed136x80 | 1 | 1% | Solidity |
ufixed136x72 | 1 | 1% | Solidity |
ufixed136x64 | 1 | 1% | Solidity |
ufixed136x56 | 1 | 1% | Solidity |
ufixed136x48 | 1 | 1% | Solidity |
ufixed136x40 | 1 | 1% | Solidity |
ufixed136x32 | 1 | 1% | Solidity |
ufixed136x24 | 1 | 1% | Solidity |
ufixed136x16 | 1 | 1% | Solidity |
ufixed136x8 | 1 | 1% | Solidity |
ufixed128x128 | 1 | 1% | Solidity |
ufixed128x120 | 1 | 1% | Solidity |
ufixed128x112 | 1 | 1% | Solidity |
ufixed128x104 | 1 | 1% | Solidity |
ufixed128x96 | 1 | 1% | Solidity |
ufixed128x88 | 1 | 1% | Solidity |
ufixed128x80 | 1 | 1% | Solidity |
ufixed128x72 | 1 | 1% | Solidity |
ufixed128x64 | 1 | 1% | Solidity |
ufixed128x56 | 1 | 1% | Solidity |
ufixed128x48 | 1 | 1% | Solidity |
ufixed128x40 | 1 | 1% | Solidity |
ufixed128x32 | 1 | 1% | Solidity |
ufixed128x24 | 1 | 1% | Solidity |
ufixed128x16 | 1 | 1% | Solidity |
ufixed128x8 | 1 | 1% | Solidity |
ufixed120x136 | 1 | 1% | Solidity |
ufixed120x128 | 1 | 1% | Solidity |
ufixed120x120 | 1 | 1% | Solidity |
ufixed120x112 | 1 | 1% | Solidity |
ufixed120x104 | 1 | 1% | Solidity |
ufixed120x96 | 1 | 1% | Solidity |
ufixed120x88 | 1 | 1% | Solidity |
ufixed120x80 | 1 | 1% | Solidity |
ufixed120x72 | 1 | 1% | Solidity |
ufixed120x64 | 1 | 1% | Solidity |
ufixed120x56 | 1 | 1% | Solidity |
ufixed120x48 | 1 | 1% | Solidity |
ufixed120x40 | 1 | 1% | Solidity |
ufixed120x32 | 1 | 1% | Solidity |
ufixed120x24 | 1 | 1% | Solidity |
ufixed120x16 | 1 | 1% | Solidity |
ufixed120x8 | 1 | 1% | Solidity |
ufixed112x144 | 1 | 1% | Solidity |
ufixed112x136 | 1 | 1% | Solidity |
ufixed112x128 | 1 | 1% | Solidity |
ufixed112x120 | 1 | 1% | Solidity |
ufixed112x112 | 1 | 1% | Solidity |
ufixed112x104 | 1 | 1% | Solidity |
ufixed112x96 | 1 | 1% | Solidity |
ufixed112x88 | 1 | 1% | Solidity |
ufixed112x80 | 1 | 1% | Solidity |
ufixed112x72 | 1 | 1% | Solidity |
ufixed112x64 | 1 | 1% | Solidity |
ufixed112x56 | 1 | 1% | Solidity |
ufixed112x48 | 1 | 1% | Solidity |
ufixed112x40 | 1 | 1% | Solidity |
ufixed112x32 | 1 | 1% | Solidity |
ufixed112x24 | 1 | 1% | Solidity |
ufixed112x16 | 1 | 1% | Solidity |
ufixed112x8 | 1 | 1% | Solidity |
ufixed104x152 | 1 | 1% | Solidity |
ufixed104x144 | 1 | 1% | Solidity |
ufixed104x136 | 1 | 1% | Solidity |
ufixed104x128 | 1 | 1% | Solidity |
ufixed104x120 | 1 | 1% | Solidity |
ufixed104x112 | 1 | 1% | Solidity |
ufixed104x104 | 1 | 1% | Solidity |
ufixed104x96 | 1 | 1% | Solidity |
ufixed104x88 | 1 | 1% | Solidity |
ufixed104x80 | 1 | 1% | Solidity |
ufixed104x72 | 1 | 1% | Solidity |
ufixed104x64 | 1 | 1% | Solidity |
ufixed104x56 | 1 | 1% | Solidity |
ufixed104x48 | 1 | 1% | Solidity |
ufixed104x40 | 1 | 1% | Solidity |
ufixed104x32 | 1 | 1% | Solidity |
ufixed104x24 | 1 | 1% | Solidity |
ufixed104x16 | 1 | 1% | Solidity |
ufixed104x8 | 1 | 1% | Solidity |
ufixed96x160 | 1 | 1% | Solidity |
ufixed96x152 | 1 | 1% | Solidity |
ufixed96x144 | 1 | 1% | Solidity |
ufixed96x136 | 1 | 1% | Solidity |
ufixed96x128 | 1 | 1% | Solidity |
ufixed96x120 | 1 | 1% | Solidity |
ufixed96x112 | 1 | 1% | Solidity |
ufixed96x104 | 1 | 1% | Solidity |
ufixed96x96 | 1 | 1% | Solidity |
ufixed96x88 | 1 | 1% | Solidity |
ufixed96x80 | 1 | 1% | Solidity |
ufixed96x72 | 1 | 1% | Solidity |
ufixed96x64 | 1 | 1% | Solidity |
ufixed96x56 | 1 | 1% | Solidity |
ufixed96x48 | 1 | 1% | Solidity |
ufixed96x40 | 1 | 1% | Solidity |
ufixed96x32 | 1 | 1% | Solidity |
ufixed96x24 | 1 | 1% | Solidity |
ufixed96x16 | 1 | 1% | Solidity |
ufixed96x8 | 1 | 1% | Solidity |
ufixed88x168 | 1 | 1% | Solidity |
ufixed88x160 | 1 | 1% | Solidity |
ufixed88x152 | 1 | 1% | Solidity |
ufixed88x144 | 1 | 1% | Solidity |
ufixed88x136 | 1 | 1% | Solidity |
ufixed88x128 | 1 | 1% | Solidity |
ufixed88x120 | 1 | 1% | Solidity |
ufixed88x112 | 1 | 1% | Solidity |
ufixed88x104 | 1 | 1% | Solidity |
ufixed88x96 | 1 | 1% | Solidity |
ufixed88x88 | 1 | 1% | Solidity |
ufixed88x80 | 1 | 1% | Solidity |
ufixed88x72 | 1 | 1% | Solidity |
ufixed88x64 | 1 | 1% | Solidity |
ufixed88x56 | 1 | 1% | Solidity |
ufixed88x48 | 1 | 1% | Solidity |
ufixed88x40 | 1 | 1% | Solidity |
ufixed88x32 | 1 | 1% | Solidity |
ufixed88x24 | 1 | 1% | Solidity |
ufixed88x16 | 1 | 1% | Solidity |
ufixed88x8 | 1 | 1% | Solidity |
ufixed80x176 | 1 | 1% | Solidity |
ufixed80x168 | 1 | 1% | Solidity |
ufixed80x160 | 1 | 1% | Solidity |
ufixed80x152 | 1 | 1% | Solidity |
ufixed80x144 | 1 | 1% | Solidity |
ufixed80x136 | 1 | 1% | Solidity |
ufixed80x128 | 1 | 1% | Solidity |
ufixed80x120 | 1 | 1% | Solidity |
ufixed80x112 | 1 | 1% | Solidity |
ufixed80x104 | 1 | 1% | Solidity |
ufixed80x96 | 1 | 1% | Solidity |
ufixed80x88 | 1 | 1% | Solidity |
ufixed80x80 | 1 | 1% | Solidity |
ufixed80x72 | 1 | 1% | Solidity |
ufixed80x64 | 1 | 1% | Solidity |
ufixed80x56 | 1 | 1% | Solidity |
ufixed80x48 | 1 | 1% | Solidity |
ufixed80x40 | 1 | 1% | Solidity |
ufixed80x32 | 1 | 1% | Solidity |
ufixed80x24 | 1 | 1% | Solidity |
ufixed80x16 | 1 | 1% | Solidity |
ufixed80x8 | 1 | 1% | Solidity |
ufixed72x184 | 1 | 1% | Solidity |
ufixed72x176 | 1 | 1% | Solidity |
ufixed72x168 | 1 | 1% | Solidity |
ufixed72x160 | 1 | 1% | Solidity |
ufixed72x152 | 1 | 1% | Solidity |
ufixed72x144 | 1 | 1% | Solidity |
ufixed72x136 | 1 | 1% | Solidity |
ufixed72x128 | 1 | 1% | Solidity |
ufixed72x120 | 1 | 1% | Solidity |
ufixed72x112 | 1 | 1% | Solidity |
ufixed72x104 | 1 | 1% | Solidity |
ufixed72x96 | 1 | 1% | Solidity |
ufixed72x88 | 1 | 1% | Solidity |
ufixed72x80 | 1 | 1% | Solidity |
ufixed72x72 | 1 | 1% | Solidity |
ufixed72x64 | 1 | 1% | Solidity |
ufixed72x56 | 1 | 1% | Solidity |
ufixed72x48 | 1 | 1% | Solidity |
ufixed72x40 | 1 | 1% | Solidity |
ufixed72x32 | 1 | 1% | Solidity |
ufixed72x24 | 1 | 1% | Solidity |
ufixed72x16 | 1 | 1% | Solidity |
ufixed72x8 | 1 | 1% | Solidity |
ufixed64x192 | 1 | 1% | Solidity |
ufixed64x184 | 1 | 1% | Solidity |
ufixed64x176 | 1 | 1% | Solidity |
ufixed64x168 | 1 | 1% | Solidity |
ufixed64x160 | 1 | 1% | Solidity |
ufixed64x152 | 1 | 1% | Solidity |
ufixed64x144 | 1 | 1% | Solidity |
ufixed64x136 | 1 | 1% | Solidity |
ufixed64x128 | 1 | 1% | Solidity |
ufixed64x120 | 1 | 1% | Solidity |
ufixed64x112 | 1 | 1% | Solidity |
ufixed64x104 | 1 | 1% | Solidity |
ufixed64x96 | 1 | 1% | Solidity |
ufixed64x88 | 1 | 1% | Solidity |
ufixed64x80 | 1 | 1% | Solidity |
ufixed64x72 | 1 | 1% | Solidity |
ufixed64x64 | 1 | 1% | Solidity |
ufixed64x56 | 1 | 1% | Solidity |
ufixed64x48 | 1 | 1% | Solidity |
ufixed64x40 | 1 | 1% | Solidity |
ufixed64x32 | 1 | 1% | Solidity |
ufixed64x24 | 1 | 1% | Solidity |
ufixed64x16 | 1 | 1% | Solidity |
ufixed64x8 | 1 | 1% | Solidity |
ufixed56x200 | 1 | 1% | Solidity |
ufixed56x192 | 1 | 1% | Solidity |
ufixed56x184 | 1 | 1% | Solidity |
ufixed56x176 | 1 | 1% | Solidity |
ufixed56x168 | 1 | 1% | Solidity |
ufixed56x160 | 1 | 1% | Solidity |
ufixed56x152 | 1 | 1% | Solidity |
ufixed56x144 | 1 | 1% | Solidity |
ufixed56x136 | 1 | 1% | Solidity |
ufixed56x128 | 1 | 1% | Solidity |
ufixed56x120 | 1 | 1% | Solidity |
ufixed56x112 | 1 | 1% | Solidity |
ufixed56x104 | 1 | 1% | Solidity |
ufixed56x96 | 1 | 1% | Solidity |
ufixed56x88 | 1 | 1% | Solidity |
ufixed56x80 | 1 | 1% | Solidity |
ufixed56x72 | 1 | 1% | Solidity |
ufixed56x64 | 1 | 1% | Solidity |
ufixed56x56 | 1 | 1% | Solidity |
ufixed56x48 | 1 | 1% | Solidity |
ufixed56x40 | 1 | 1% | Solidity |
ufixed56x32 | 1 | 1% | Solidity |
ufixed56x24 | 1 | 1% | Solidity |
ufixed56x16 | 1 | 1% | Solidity |
ufixed56x8 | 1 | 1% | Solidity |
ufixed48x208 | 1 | 1% | Solidity |
ufixed48x200 | 1 | 1% | Solidity |
ufixed48x192 | 1 | 1% | Solidity |
ufixed48x184 | 1 | 1% | Solidity |
ufixed48x176 | 1 | 1% | Solidity |
ufixed48x168 | 1 | 1% | Solidity |
ufixed48x160 | 1 | 1% | Solidity |
ufixed48x152 | 1 | 1% | Solidity |
ufixed48x144 | 1 | 1% | Solidity |
ufixed48x136 | 1 | 1% | Solidity |
ufixed48x128 | 1 | 1% | Solidity |
ufixed48x120 | 1 | 1% | Solidity |
ufixed48x112 | 1 | 1% | Solidity |
ufixed48x104 | 1 | 1% | Solidity |
ufixed48x96 | 1 | 1% | Solidity |
ufixed48x88 | 1 | 1% | Solidity |
ufixed48x80 | 1 | 1% | Solidity |
ufixed48x72 | 1 | 1% | Solidity |
ufixed48x64 | 1 | 1% | Solidity |
ufixed48x56 | 1 | 1% | Solidity |
ufixed48x48 | 1 | 1% | Solidity |
ufixed48x40 | 1 | 1% | Solidity |
ufixed48x32 | 1 | 1% | Solidity |
ufixed48x24 | 1 | 1% | Solidity |
ufixed48x16 | 1 | 1% | Solidity |
ufixed48x8 | 1 | 1% | Solidity |
ufixed40x216 | 1 | 1% | Solidity |
ufixed40x208 | 1 | 1% | Solidity |
ufixed40x200 | 1 | 1% | Solidity |
ufixed40x192 | 1 | 1% | Solidity |
ufixed40x184 | 1 | 1% | Solidity |
ufixed40x176 | 1 | 1% | Solidity |
ufixed40x168 | 1 | 1% | Solidity |
ufixed40x160 | 1 | 1% | Solidity |
ufixed40x152 | 1 | 1% | Solidity |
ufixed40x144 | 1 | 1% | Solidity |
ufixed40x136 | 1 | 1% | Solidity |
ufixed40x128 | 1 | 1% | Solidity |
ufixed40x120 | 1 | 1% | Solidity |
ufixed40x112 | 1 | 1% | Solidity |
ufixed40x104 | 1 | 1% | Solidity |
ufixed40x96 | 1 | 1% | Solidity |
ufixed40x88 | 1 | 1% | Solidity |
ufixed40x80 | 1 | 1% | Solidity |
ufixed40x72 | 1 | 1% | Solidity |
ufixed40x64 | 1 | 1% | Solidity |
ufixed40x56 | 1 | 1% | Solidity |
ufixed40x48 | 1 | 1% | Solidity |
ufixed40x40 | 1 | 1% | Solidity |
ufixed40x32 | 1 | 1% | Solidity |
ufixed40x24 | 1 | 1% | Solidity |
ufixed40x16 | 1 | 1% | Solidity |
ufixed40x8 | 1 | 1% | Solidity |
ufixed32x224 | 1 | 1% | Solidity |
ufixed32x216 | 1 | 1% | Solidity |
ufixed32x208 | 1 | 1% | Solidity |
ufixed32x200 | 1 | 1% | Solidity |
ufixed32x192 | 1 | 1% | Solidity |
ufixed32x184 | 1 | 1% | Solidity |
ufixed32x176 | 1 | 1% | Solidity |
ufixed32x168 | 1 | 1% | Solidity |
ufixed32x160 | 1 | 1% | Solidity |
ufixed32x152 | 1 | 1% | Solidity |
ufixed32x144 | 1 | 1% | Solidity |
ufixed32x136 | 1 | 1% | Solidity |
ufixed32x128 | 1 | 1% | Solidity |
ufixed32x120 | 1 | 1% | Solidity |
ufixed32x112 | 1 | 1% | Solidity |
ufixed32x104 | 1 | 1% | Solidity |
ufixed32x96 | 1 | 1% | Solidity |
ufixed32x88 | 1 | 1% | Solidity |
ufixed32x80 | 1 | 1% | Solidity |
ufixed32x72 | 1 | 1% | Solidity |
ufixed32x64 | 1 | 1% | Solidity |
ufixed32x56 | 1 | 1% | Solidity |
ufixed32x48 | 1 | 1% | Solidity |
ufixed32x40 | 1 | 1% | Solidity |
ufixed32x32 | 1 | 1% | Solidity |
ufixed32x24 | 1 | 1% | Solidity |
ufixed32x16 | 1 | 1% | Solidity |
ufixed32x8 | 1 | 1% | Solidity |
ufixed24x232 | 1 | 1% | Solidity |
ufixed24x224 | 1 | 1% | Solidity |
ufixed24x216 | 1 | 1% | Solidity |
ufixed24x208 | 1 | 1% | Solidity |
ufixed24x200 | 1 | 1% | Solidity |
ufixed24x192 | 1 | 1% | Solidity |
ufixed24x184 | 1 | 1% | Solidity |
ufixed24x176 | 1 | 1% | Solidity |
ufixed24x168 | 1 | 1% | Solidity |
ufixed24x160 | 1 | 1% | Solidity |
ufixed24x152 | 1 | 1% | Solidity |
ufixed24x144 | 1 | 1% | Solidity |
ufixed24x136 | 1 | 1% | Solidity |
ufixed24x128 | 1 | 1% | Solidity |
ufixed24x120 | 1 | 1% | Solidity |
ufixed24x112 | 1 | 1% | Solidity |
ufixed24x104 | 1 | 1% | Solidity |
ufixed24x96 | 1 | 1% | Solidity |
ufixed24x88 | 1 | 1% | Solidity |
ufixed24x80 | 1 | 1% | Solidity |
ufixed24x72 | 1 | 1% | Solidity |
ufixed24x64 | 1 | 1% | Solidity |
ufixed24x56 | 1 | 1% | Solidity |
ufixed24x48 | 1 | 1% | Solidity |
ufixed24x40 | 1 | 1% | Solidity |
ufixed24x32 | 1 | 1% | Solidity |
ufixed24x24 | 1 | 1% | Solidity |
ufixed24x16 | 1 | 1% | Solidity |
ufixed24x8 | 1 | 1% | Solidity |
ufixed16x240 | 1 | 1% | Solidity |
ufixed16x232 | 1 | 1% | Solidity |
ufixed16x224 | 1 | 1% | Solidity |
ufixed16x216 | 1 | 1% | Solidity |
ufixed16x208 | 1 | 1% | Solidity |
ufixed16x200 | 1 | 1% | Solidity |
ufixed16x192 | 1 | 1% | Solidity |
ufixed16x184 | 1 | 1% | Solidity |
ufixed16x176 | 1 | 1% | Solidity |
ufixed16x168 | 1 | 1% | Solidity |
ufixed16x160 | 1 | 1% | Solidity |
ufixed16x152 | 1 | 1% | Solidity |
ufixed16x144 | 1 | 1% | Solidity |
ufixed16x136 | 1 | 1% | Solidity |
ufixed16x128 | 1 | 1% | Solidity |
ufixed16x120 | 1 | 1% | Solidity |
ufixed16x112 | 1 | 1% | Solidity |
ufixed16x104 | 1 | 1% | Solidity |
ufixed16x96 | 1 | 1% | Solidity |
ufixed16x88 | 1 | 1% | Solidity |
ufixed16x80 | 1 | 1% | Solidity |
ufixed16x72 | 1 | 1% | Solidity |
ufixed16x64 | 1 | 1% | Solidity |
ufixed16x56 | 1 | 1% | Solidity |
ufixed16x48 | 1 | 1% | Solidity |
ufixed16x40 | 1 | 1% | Solidity |
ufixed16x32 | 1 | 1% | Solidity |
ufixed16x24 | 1 | 1% | Solidity |
ufixed16x16 | 1 | 1% | Solidity |
ufixed16x8 | 1 | 1% | Solidity |
ufixed8x248 | 1 | 1% | Solidity |
ufixed8x240 | 1 | 1% | Solidity |
ufixed8x232 | 1 | 1% | Solidity |
ufixed8x224 | 1 | 1% | Solidity |
ufixed8x216 | 1 | 1% | Solidity |
ufixed8x208 | 1 | 1% | Solidity |
ufixed8x200 | 1 | 1% | Solidity |
ufixed8x192 | 1 | 1% | Solidity |
ufixed8x184 | 1 | 1% | Solidity |
ufixed8x176 | 1 | 1% | Solidity |
ufixed8x168 | 1 | 1% | Solidity |
ufixed8x160 | 1 | 1% | Solidity |
ufixed8x152 | 1 | 1% | Solidity |
ufixed8x144 | 1 | 1% | Solidity |
ufixed8x136 | 1 | 1% | Solidity |
ufixed8x128 | 1 | 1% | Solidity |
ufixed8x120 | 1 | 1% | Solidity |
ufixed8x112 | 1 | 1% | Solidity |
ufixed8x104 | 1 | 1% | Solidity |
ufixed8x96 | 1 | 1% | Solidity |
ufixed8x88 | 1 | 1% | Solidity |
ufixed8x80 | 1 | 1% | Solidity |
ufixed8x72 | 1 | 1% | Solidity |
ufixed8x64 | 1 | 1% | Solidity |
ufixed8x56 | 1 | 1% | Solidity |
ufixed8x48 | 1 | 1% | Solidity |
ufixed8x40 | 1 | 1% | Solidity |
ufixed8x32 | 1 | 1% | Solidity |
ufixed8x24 | 1 | 1% | Solidity |
ufixed8x16 | 1 | 1% | Solidity |
ufixed8x8 | 1 | 1% | Solidity |
ufixed0x256 | 1 | 1% | Solidity |
ufixed0x248 | 1 | 1% | Solidity |
ufixed0x240 | 1 | 1% | Solidity |
ufixed0x232 | 1 | 1% | Solidity |
ufixed0x224 | 1 | 1% | Solidity |
ufixed0x216 | 1 | 1% | Solidity |
ufixed0x208 | 1 | 1% | Solidity |
ufixed0x200 | 1 | 1% | Solidity |
ufixed0x192 | 1 | 1% | Solidity |
ufixed0x184 | 1 | 1% | Solidity |
ufixed0x176 | 1 | 1% | Solidity |
ufixed0x168 | 1 | 1% | Solidity |
ufixed0x160 | 1 | 1% | Solidity |
ufixed0x152 | 1 | 1% | Solidity |
ufixed0x144 | 1 | 1% | Solidity |
ufixed0x136 | 1 | 1% | Solidity |
ufixed0x128 | 1 | 1% | Solidity |
ufixed0x120 | 1 | 1% | Solidity |
ufixed0x112 | 1 | 1% | Solidity |
ufixed0x104 | 1 | 1% | Solidity |
ufixed0x96 | 1 | 1% | Solidity |
ufixed0x88 | 1 | 1% | Solidity |
ufixed0x80 | 1 | 1% | Solidity |
ufixed0x72 | 1 | 1% | Solidity |
ufixed0x64 | 1 | 1% | Solidity |
ufixed0x56 | 1 | 1% | Solidity |
ufixed0x48 | 1 | 1% | Solidity |
ufixed0x40 | 1 | 1% | Solidity |
ufixed0x32 | 1 | 1% | Solidity |
ufixed0x24 | 1 | 1% | Solidity |
ufixed0x16 | 1 | 1% | Solidity |
ufixed0x8 | 1 | 1% | Solidity |
ufixed | 1 | 1% | Solidity |
fixed248x8 | 1 | 1% | Solidity |
fixed240x16 | 1 | 1% | Solidity |
fixed240x8 | 1 | 1% | Solidity |
fixed232x24 | 1 | 1% | Solidity |
fixed232x16 | 1 | 1% | Solidity |
fixed232x8 | 1 | 1% | Solidity |
fixed224x32 | 1 | 1% | Solidity |
fixed224x24 | 1 | 1% | Solidity |
fixed224x16 | 1 | 1% | Solidity |
fixed224x8 | 1 | 1% | Solidity |
fixed216x40 | 1 | 1% | Solidity |
fixed216x32 | 1 | 1% | Solidity |
fixed216x24 | 1 | 1% | Solidity |
fixed216x16 | 1 | 1% | Solidity |
fixed216x8 | 1 | 1% | Solidity |
fixed208x48 | 1 | 1% | Solidity |
fixed208x40 | 1 | 1% | Solidity |
fixed208x32 | 1 | 1% | Solidity |
fixed208x24 | 1 | 1% | Solidity |
fixed208x16 | 1 | 1% | Solidity |
fixed208x8 | 1 | 1% | Solidity |
fixed200x56 | 1 | 1% | Solidity |
fixed200x48 | 1 | 1% | Solidity |
fixed200x40 | 1 | 1% | Solidity |
fixed200x32 | 1 | 1% | Solidity |
fixed200x24 | 1 | 1% | Solidity |
fixed200x16 | 1 | 1% | Solidity |
fixed200x8 | 1 | 1% | Solidity |
fixed192x64 | 1 | 1% | Solidity |
fixed192x56 | 1 | 1% | Solidity |
fixed192x48 | 1 | 1% | Solidity |
fixed192x40 | 1 | 1% | Solidity |
fixed192x32 | 1 | 1% | Solidity |
fixed192x24 | 1 | 1% | Solidity |
fixed192x16 | 1 | 1% | Solidity |
fixed192x8 | 1 | 1% | Solidity |
fixed184x72 | 1 | 1% | Solidity |
fixed184x64 | 1 | 1% | Solidity |
fixed184x56 | 1 | 1% | Solidity |
fixed184x48 | 1 | 1% | Solidity |
fixed184x40 | 1 | 1% | Solidity |
fixed184x32 | 1 | 1% | Solidity |
fixed184x24 | 1 | 1% | Solidity |
fixed184x16 | 1 | 1% | Solidity |
fixed184x8 | 1 | 1% | Solidity |
fixed176x80 | 1 | 1% | Solidity |
fixed176x72 | 1 | 1% | Solidity |
fixed176x64 | 1 | 1% | Solidity |
fixed176x56 | 1 | 1% | Solidity |
fixed176x48 | 1 | 1% | Solidity |
fixed176x40 | 1 | 1% | Solidity |
fixed176x32 | 1 | 1% | Solidity |
fixed176x24 | 1 | 1% | Solidity |
fixed176x16 | 1 | 1% | Solidity |
fixed176x8 | 1 | 1% | Solidity |
fixed168x88 | 1 | 1% | Solidity |
fixed168x80 | 1 | 1% | Solidity |
fixed168x72 | 1 | 1% | Solidity |
fixed168x64 | 1 | 1% | Solidity |
fixed168x56 | 1 | 1% | Solidity |
fixed168x48 | 1 | 1% | Solidity |
fixed168x40 | 1 | 1% | Solidity |
fixed168x32 | 1 | 1% | Solidity |
fixed168x24 | 1 | 1% | Solidity |
fixed168x16 | 1 | 1% | Solidity |
fixed168x8 | 1 | 1% | Solidity |
fixed160x96 | 1 | 1% | Solidity |
fixed160x88 | 1 | 1% | Solidity |
fixed160x80 | 1 | 1% | Solidity |
fixed160x72 | 1 | 1% | Solidity |
fixed160x64 | 1 | 1% | Solidity |
fixed160x56 | 1 | 1% | Solidity |
fixed160x48 | 1 | 1% | Solidity |
fixed160x40 | 1 | 1% | Solidity |
fixed160x32 | 1 | 1% | Solidity |
fixed160x24 | 1 | 1% | Solidity |
fixed160x16 | 1 | 1% | Solidity |
fixed160x8 | 1 | 1% | Solidity |
fixed152x104 | 1 | 1% | Solidity |
fixed152x96 | 1 | 1% | Solidity |
fixed152x88 | 1 | 1% | Solidity |
fixed152x80 | 1 | 1% | Solidity |
fixed152x72 | 1 | 1% | Solidity |
fixed152x64 | 1 | 1% | Solidity |
fixed152x56 | 1 | 1% | Solidity |
fixed152x48 | 1 | 1% | Solidity |
fixed152x40 | 1 | 1% | Solidity |
fixed152x32 | 1 | 1% | Solidity |
fixed152x24 | 1 | 1% | Solidity |
fixed152x16 | 1 | 1% | Solidity |
fixed152x8 | 1 | 1% | Solidity |
fixed144x112 | 1 | 1% | Solidity |
fixed144x104 | 1 | 1% | Solidity |
fixed144x96 | 1 | 1% | Solidity |
fixed144x88 | 1 | 1% | Solidity |
fixed144x80 | 1 | 1% | Solidity |
fixed144x72 | 1 | 1% | Solidity |
fixed144x64 | 1 | 1% | Solidity |
fixed144x56 | 1 | 1% | Solidity |
fixed144x48 | 1 | 1% | Solidity |
fixed144x40 | 1 | 1% | Solidity |
fixed144x32 | 1 | 1% | Solidity |
fixed144x24 | 1 | 1% | Solidity |
fixed144x16 | 1 | 1% | Solidity |
fixed144x8 | 1 | 1% | Solidity |
fixed136x120 | 1 | 1% | Solidity |
fixed136x112 | 1 | 1% | Solidity |
fixed136x104 | 1 | 1% | Solidity |
fixed136x96 | 1 | 1% | Solidity |
fixed136x88 | 1 | 1% | Solidity |
fixed136x80 | 1 | 1% | Solidity |
fixed136x72 | 1 | 1% | Solidity |
fixed136x64 | 1 | 1% | Solidity |
fixed136x56 | 1 | 1% | Solidity |
fixed136x48 | 1 | 1% | Solidity |
fixed136x40 | 1 | 1% | Solidity |
fixed136x32 | 1 | 1% | Solidity |
fixed136x24 | 1 | 1% | Solidity |
fixed136x16 | 1 | 1% | Solidity |
fixed136x8 | 1 | 1% | Solidity |
fixed128x128 | 1 | 1% | Solidity |
fixed128x120 | 1 | 1% | Solidity |
fixed128x112 | 1 | 1% | Solidity |
fixed128x104 | 1 | 1% | Solidity |
fixed128x96 | 1 | 1% | Solidity |
fixed128x88 | 1 | 1% | Solidity |
fixed128x80 | 1 | 1% | Solidity |
fixed128x72 | 1 | 1% | Solidity |
fixed128x64 | 1 | 1% | Solidity |
fixed128x56 | 1 | 1% | Solidity |
fixed128x48 | 1 | 1% | Solidity |
fixed128x40 | 1 | 1% | Solidity |
fixed128x32 | 1 | 1% | Solidity |
fixed128x24 | 1 | 1% | Solidity |
fixed128x16 | 1 | 1% | Solidity |
fixed128x8 | 1 | 1% | Solidity |
fixed120x136 | 1 | 1% | Solidity |
fixed120x128 | 1 | 1% | Solidity |
fixed120x120 | 1 | 1% | Solidity |
fixed120x112 | 1 | 1% | Solidity |
fixed120x104 | 1 | 1% | Solidity |
fixed120x96 | 1 | 1% | Solidity |
fixed120x88 | 1 | 1% | Solidity |
fixed120x80 | 1 | 1% | Solidity |
fixed120x72 | 1 | 1% | Solidity |
fixed120x64 | 1 | 1% | Solidity |
fixed120x56 | 1 | 1% | Solidity |
fixed120x48 | 1 | 1% | Solidity |
fixed120x40 | 1 | 1% | Solidity |
fixed120x32 | 1 | 1% | Solidity |
fixed120x24 | 1 | 1% | Solidity |
fixed120x16 | 1 | 1% | Solidity |
fixed120x8 | 1 | 1% | Solidity |
fixed112x144 | 1 | 1% | Solidity |
fixed112x136 | 1 | 1% | Solidity |
fixed112x128 | 1 | 1% | Solidity |
fixed112x120 | 1 | 1% | Solidity |
fixed112x112 | 1 | 1% | Solidity |
fixed112x104 | 1 | 1% | Solidity |
fixed112x96 | 1 | 1% | Solidity |
fixed112x88 | 1 | 1% | Solidity |
fixed112x80 | 1 | 1% | Solidity |
fixed112x72 | 1 | 1% | Solidity |
fixed112x64 | 1 | 1% | Solidity |
fixed112x56 | 1 | 1% | Solidity |
fixed112x48 | 1 | 1% | Solidity |
fixed112x40 | 1 | 1% | Solidity |
fixed112x32 | 1 | 1% | Solidity |
fixed112x24 | 1 | 1% | Solidity |
fixed112x16 | 1 | 1% | Solidity |
fixed112x8 | 1 | 1% | Solidity |
fixed104x152 | 1 | 1% | Solidity |
fixed104x144 | 1 | 1% | Solidity |
fixed104x136 | 1 | 1% | Solidity |
fixed104x128 | 1 | 1% | Solidity |
fixed104x120 | 1 | 1% | Solidity |
fixed104x112 | 1 | 1% | Solidity |
fixed104x104 | 1 | 1% | Solidity |
fixed104x96 | 1 | 1% | Solidity |
fixed104x88 | 1 | 1% | Solidity |
fixed104x80 | 1 | 1% | Solidity |
fixed104x72 | 1 | 1% | Solidity |
fixed104x64 | 1 | 1% | Solidity |
fixed104x56 | 1 | 1% | Solidity |
fixed104x48 | 1 | 1% | Solidity |
fixed104x40 | 1 | 1% | Solidity |
fixed104x32 | 1 | 1% | Solidity |
fixed104x24 | 1 | 1% | Solidity |
fixed104x16 | 1 | 1% | Solidity |
fixed104x8 | 1 | 1% | Solidity |
fixed96x160 | 1 | 1% | Solidity |
fixed96x152 | 1 | 1% | Solidity |
fixed96x144 | 1 | 1% | Solidity |
fixed96x136 | 1 | 1% | Solidity |
fixed96x128 | 1 | 1% | Solidity |
fixed96x120 | 1 | 1% | Solidity |
fixed96x112 | 1 | 1% | Solidity |
fixed96x104 | 1 | 1% | Solidity |
fixed96x96 | 1 | 1% | Solidity |
fixed96x88 | 1 | 1% | Solidity |
fixed96x80 | 1 | 1% | Solidity |
fixed96x72 | 1 | 1% | Solidity |
fixed96x64 | 1 | 1% | Solidity |
fixed96x56 | 1 | 1% | Solidity |
fixed96x48 | 1 | 1% | Solidity |
fixed96x40 | 1 | 1% | Solidity |
fixed96x32 | 1 | 1% | Solidity |
fixed96x24 | 1 | 1% | Solidity |
fixed96x16 | 1 | 1% | Solidity |
fixed96x8 | 1 | 1% | Solidity |
fixed88x168 | 1 | 1% | Solidity |
fixed88x160 | 1 | 1% | Solidity |
fixed88x152 | 1 | 1% | Solidity |
fixed88x144 | 1 | 1% | Solidity |
fixed88x136 | 1 | 1% | Solidity |
fixed88x128 | 1 | 1% | Solidity |
fixed88x120 | 1 | 1% | Solidity |
fixed88x112 | 1 | 1% | Solidity |
fixed88x104 | 1 | 1% | Solidity |
fixed88x96 | 1 | 1% | Solidity |
fixed88x88 | 1 | 1% | Solidity |
fixed88x80 | 1 | 1% | Solidity |
fixed88x72 | 1 | 1% | Solidity |
fixed88x64 | 1 | 1% | Solidity |
fixed88x56 | 1 | 1% | Solidity |
fixed88x48 | 1 | 1% | Solidity |
fixed88x40 | 1 | 1% | Solidity |
fixed88x32 | 1 | 1% | Solidity |
fixed88x24 | 1 | 1% | Solidity |
fixed88x16 | 1 | 1% | Solidity |
fixed88x8 | 1 | 1% | Solidity |
fixed80x176 | 1 | 1% | Solidity |
fixed80x168 | 1 | 1% | Solidity |
fixed80x160 | 1 | 1% | Solidity |
fixed80x152 | 1 | 1% | Solidity |
fixed80x144 | 1 | 1% | Solidity |
fixed80x136 | 1 | 1% | Solidity |
fixed80x128 | 1 | 1% | Solidity |
fixed80x120 | 1 | 1% | Solidity |
fixed80x112 | 1 | 1% | Solidity |
fixed80x104 | 1 | 1% | Solidity |
fixed80x96 | 1 | 1% | Solidity |
fixed80x88 | 1 | 1% | Solidity |
fixed80x80 | 1 | 1% | Solidity |
fixed80x72 | 1 | 1% | Solidity |
fixed80x64 | 1 | 1% | Solidity |
fixed80x56 | 1 | 1% | Solidity |
fixed80x48 | 1 | 1% | Solidity |
fixed80x40 | 1 | 1% | Solidity |
fixed80x32 | 1 | 1% | Solidity |
fixed80x24 | 1 | 1% | Solidity |
fixed80x16 | 1 | 1% | Solidity |
fixed80x8 | 1 | 1% | Solidity |
fixed72x184 | 1 | 1% | Solidity |
fixed72x176 | 1 | 1% | Solidity |
fixed72x168 | 1 | 1% | Solidity |
fixed72x160 | 1 | 1% | Solidity |
fixed72x152 | 1 | 1% | Solidity |
fixed72x144 | 1 | 1% | Solidity |
fixed72x136 | 1 | 1% | Solidity |
fixed72x128 | 1 | 1% | Solidity |
fixed72x120 | 1 | 1% | Solidity |
fixed72x112 | 1 | 1% | Solidity |
fixed72x104 | 1 | 1% | Solidity |
fixed72x96 | 1 | 1% | Solidity |
fixed72x88 | 1 | 1% | Solidity |
fixed72x80 | 1 | 1% | Solidity |
fixed72x72 | 1 | 1% | Solidity |
fixed72x64 | 1 | 1% | Solidity |
fixed72x56 | 1 | 1% | Solidity |
fixed72x48 | 1 | 1% | Solidity |
fixed72x40 | 1 | 1% | Solidity |
fixed72x32 | 1 | 1% | Solidity |
fixed72x24 | 1 | 1% | Solidity |
fixed72x16 | 1 | 1% | Solidity |
fixed72x8 | 1 | 1% | Solidity |
fixed64x192 | 1 | 1% | Solidity |
fixed64x184 | 1 | 1% | Solidity |
fixed64x176 | 1 | 1% | Solidity |
fixed64x168 | 1 | 1% | Solidity |
fixed64x160 | 1 | 1% | Solidity |
fixed64x152 | 1 | 1% | Solidity |
fixed64x144 | 1 | 1% | Solidity |
fixed64x136 | 1 | 1% | Solidity |
fixed64x128 | 1 | 1% | Solidity |
fixed64x120 | 1 | 1% | Solidity |
fixed64x112 | 1 | 1% | Solidity |
fixed64x104 | 1 | 1% | Solidity |
fixed64x96 | 1 | 1% | Solidity |
fixed64x88 | 1 | 1% | Solidity |
fixed64x80 | 1 | 1% | Solidity |
fixed64x72 | 1 | 1% | Solidity |
fixed64x64 | 1 | 1% | Solidity |
fixed64x56 | 1 | 1% | Solidity |
fixed64x48 | 1 | 1% | Solidity |
fixed64x40 | 1 | 1% | Solidity |
fixed64x32 | 1 | 1% | Solidity |
fixed64x24 | 1 | 1% | Solidity |
fixed64x16 | 1 | 1% | Solidity |
fixed64x8 | 1 | 1% | Solidity |
fixed56x200 | 1 | 1% | Solidity |
fixed56x192 | 1 | 1% | Solidity |
fixed56x184 | 1 | 1% | Solidity |
fixed56x176 | 1 | 1% | Solidity |
fixed56x168 | 1 | 1% | Solidity |
fixed56x160 | 1 | 1% | Solidity |
fixed56x152 | 1 | 1% | Solidity |
fixed56x144 | 1 | 1% | Solidity |
fixed56x136 | 1 | 1% | Solidity |
fixed56x128 | 1 | 1% | Solidity |
fixed56x120 | 1 | 1% | Solidity |
fixed56x112 | 1 | 1% | Solidity |
fixed56x104 | 1 | 1% | Solidity |
fixed56x96 | 1 | 1% | Solidity |
fixed56x88 | 1 | 1% | Solidity |
fixed56x80 | 1 | 1% | Solidity |
fixed56x72 | 1 | 1% | Solidity |
fixed56x64 | 1 | 1% | Solidity |
fixed56x56 | 1 | 1% | Solidity |
fixed56x48 | 1 | 1% | Solidity |
fixed56x40 | 1 | 1% | Solidity |
fixed56x32 | 1 | 1% | Solidity |
fixed56x24 | 1 | 1% | Solidity |
fixed56x16 | 1 | 1% | Solidity |
fixed56x8 | 1 | 1% | Solidity |
fixed48x208 | 1 | 1% | Solidity |
fixed48x200 | 1 | 1% | Solidity |
fixed48x192 | 1 | 1% | Solidity |
fixed48x184 | 1 | 1% | Solidity |
fixed48x176 | 1 | 1% | Solidity |
fixed48x168 | 1 | 1% | Solidity |
fixed48x160 | 1 | 1% | Solidity |
fixed48x152 | 1 | 1% | Solidity |
fixed48x144 | 1 | 1% | Solidity |
fixed48x136 | 1 | 1% | Solidity |
fixed48x128 | 1 | 1% | Solidity |
fixed48x120 | 1 | 1% | Solidity |
fixed48x112 | 1 | 1% | Solidity |
fixed48x104 | 1 | 1% | Solidity |
fixed48x96 | 1 | 1% | Solidity |
fixed48x88 | 1 | 1% | Solidity |
fixed48x80 | 1 | 1% | Solidity |
fixed48x72 | 1 | 1% | Solidity |
fixed48x64 | 1 | 1% | Solidity |
fixed48x56 | 1 | 1% | Solidity |
fixed48x48 | 1 | 1% | Solidity |
fixed48x40 | 1 | 1% | Solidity |
fixed48x32 | 1 | 1% | Solidity |
fixed48x24 | 1 | 1% | Solidity |
fixed48x16 | 1 | 1% | Solidity |
fixed48x8 | 1 | 1% | Solidity |
fixed40x216 | 1 | 1% | Solidity |
fixed40x208 | 1 | 1% | Solidity |
fixed40x200 | 1 | 1% | Solidity |
fixed40x192 | 1 | 1% | Solidity |
fixed40x184 | 1 | 1% | Solidity |
fixed40x176 | 1 | 1% | Solidity |
fixed40x168 | 1 | 1% | Solidity |
fixed40x160 | 1 | 1% | Solidity |
fixed40x152 | 1 | 1% | Solidity |
fixed40x144 | 1 | 1% | Solidity |
fixed40x136 | 1 | 1% | Solidity |
fixed40x128 | 1 | 1% | Solidity |
fixed40x120 | 1 | 1% | Solidity |
fixed40x112 | 1 | 1% | Solidity |
fixed40x104 | 1 | 1% | Solidity |
fixed40x96 | 1 | 1% | Solidity |
fixed40x88 | 1 | 1% | Solidity |
fixed40x80 | 1 | 1% | Solidity |
fixed40x72 | 1 | 1% | Solidity |
fixed40x64 | 1 | 1% | Solidity |
fixed40x56 | 1 | 1% | Solidity |
fixed40x48 | 1 | 1% | Solidity |
fixed40x40 | 1 | 1% | Solidity |
fixed40x32 | 1 | 1% | Solidity |
fixed40x24 | 1 | 1% | Solidity |
fixed40x16 | 1 | 1% | Solidity |
fixed40x8 | 1 | 1% | Solidity |
fixed32x224 | 1 | 1% | Solidity |
fixed32x216 | 1 | 1% | Solidity |
fixed32x208 | 1 | 1% | Solidity |
fixed32x200 | 1 | 1% | Solidity |
fixed32x192 | 1 | 1% | Solidity |
fixed32x184 | 1 | 1% | Solidity |
fixed32x176 | 1 | 1% | Solidity |
fixed32x168 | 1 | 1% | Solidity |
fixed32x160 | 1 | 1% | Solidity |
fixed32x152 | 1 | 1% | Solidity |
fixed32x144 | 1 | 1% | Solidity |
fixed32x136 | 1 | 1% | Solidity |
fixed32x128 | 1 | 1% | Solidity |
fixed32x120 | 1 | 1% | Solidity |
fixed32x112 | 1 | 1% | Solidity |
fixed32x104 | 1 | 1% | Solidity |
fixed32x96 | 1 | 1% | Solidity |
fixed32x88 | 1 | 1% | Solidity |
fixed32x80 | 1 | 1% | Solidity |
fixed32x72 | 1 | 1% | Solidity |
fixed32x64 | 1 | 1% | Solidity |
fixed32x56 | 1 | 1% | Solidity |
fixed32x48 | 1 | 1% | Solidity |
fixed32x40 | 1 | 1% | Solidity |
fixed32x32 | 1 | 1% | Solidity |
fixed32x24 | 1 | 1% | Solidity |
fixed32x16 | 1 | 1% | Solidity |
fixed32x8 | 1 | 1% | Solidity |
fixed24x232 | 1 | 1% | Solidity |
fixed24x224 | 1 | 1% | Solidity |
fixed24x216 | 1 | 1% | Solidity |
fixed24x208 | 1 | 1% | Solidity |
fixed24x200 | 1 | 1% | Solidity |
fixed24x192 | 1 | 1% | Solidity |
fixed24x184 | 1 | 1% | Solidity |
fixed24x176 | 1 | 1% | Solidity |
fixed24x168 | 1 | 1% | Solidity |
fixed24x160 | 1 | 1% | Solidity |
fixed24x152 | 1 | 1% | Solidity |
fixed24x144 | 1 | 1% | Solidity |
fixed24x136 | 1 | 1% | Solidity |
fixed24x128 | 1 | 1% | Solidity |
fixed24x120 | 1 | 1% | Solidity |
fixed24x112 | 1 | 1% | Solidity |
fixed24x104 | 1 | 1% | Solidity |
fixed24x96 | 1 | 1% | Solidity |
fixed24x88 | 1 | 1% | Solidity |
fixed24x80 | 1 | 1% | Solidity |
fixed24x72 | 1 | 1% | Solidity |
fixed24x64 | 1 | 1% | Solidity |
fixed24x56 | 1 | 1% | Solidity |
fixed24x48 | 1 | 1% | Solidity |
fixed24x40 | 1 | 1% | Solidity |
fixed24x32 | 1 | 1% | Solidity |
fixed24x24 | 1 | 1% | Solidity |
fixed24x16 | 1 | 1% | Solidity |
fixed24x8 | 1 | 1% | Solidity |
fixed16x240 | 1 | 1% | Solidity |
fixed16x232 | 1 | 1% | Solidity |
fixed16x224 | 1 | 1% | Solidity |
fixed16x216 | 1 | 1% | Solidity |
fixed16x208 | 1 | 1% | Solidity |
fixed16x200 | 1 | 1% | Solidity |
fixed16x192 | 1 | 1% | Solidity |
fixed16x184 | 1 | 1% | Solidity |
fixed16x176 | 1 | 1% | Solidity |
fixed16x168 | 1 | 1% | Solidity |
fixed16x160 | 1 | 1% | Solidity |
fixed16x152 | 1 | 1% | Solidity |
fixed16x144 | 1 | 1% | Solidity |
fixed16x136 | 1 | 1% | Solidity |
fixed16x128 | 1 | 1% | Solidity |
fixed16x120 | 1 | 1% | Solidity |
fixed16x112 | 1 | 1% | Solidity |
fixed16x104 | 1 | 1% | Solidity |
fixed16x96 | 1 | 1% | Solidity |
fixed16x88 | 1 | 1% | Solidity |
fixed16x80 | 1 | 1% | Solidity |
fixed16x72 | 1 | 1% | Solidity |
fixed16x64 | 1 | 1% | Solidity |
fixed16x56 | 1 | 1% | Solidity |
fixed16x48 | 1 | 1% | Solidity |
fixed16x40 | 1 | 1% | Solidity |
fixed16x32 | 1 | 1% | Solidity |
fixed16x24 | 1 | 1% | Solidity |
fixed16x16 | 1 | 1% | Solidity |
fixed16x8 | 1 | 1% | Solidity |
fixed8x248 | 1 | 1% | Solidity |
fixed8x240 | 1 | 1% | Solidity |
fixed8x232 | 1 | 1% | Solidity |
fixed8x224 | 1 | 1% | Solidity |
fixed8x216 | 1 | 1% | Solidity |
fixed8x208 | 1 | 1% | Solidity |
fixed8x200 | 1 | 1% | Solidity |
fixed8x192 | 1 | 1% | Solidity |
fixed8x184 | 1 | 1% | Solidity |
fixed8x176 | 1 | 1% | Solidity |
fixed8x168 | 1 | 1% | Solidity |
fixed8x160 | 1 | 1% | Solidity |
fixed8x152 | 1 | 1% | Solidity |
fixed8x144 | 1 | 1% | Solidity |
fixed8x136 | 1 | 1% | Solidity |
fixed8x128 | 1 | 1% | Solidity |
fixed8x120 | 1 | 1% | Solidity |
fixed8x112 | 1 | 1% | Solidity |
fixed8x104 | 1 | 1% | Solidity |
fixed8x96 | 1 | 1% | Solidity |
fixed8x88 | 1 | 1% | Solidity |
fixed8x80 | 1 | 1% | Solidity |
fixed8x72 | 1 | 1% | Solidity |
fixed8x64 | 1 | 1% | Solidity |
fixed8x56 | 1 | 1% | Solidity |
fixed8x48 | 1 | 1% | Solidity |
fixed8x40 | 1 | 1% | Solidity |
fixed8x32 | 1 | 1% | Solidity |
fixed8x24 | 1 | 1% | Solidity |
fixed8x16 | 1 | 1% | Solidity |
fixed8x8 | 1 | 1% | Solidity |
fixed0x256 | 1 | 1% | Solidity |
fixed0x248 | 1 | 1% | Solidity |
fixed0x240 | 1 | 1% | Solidity |
fixed0x232 | 1 | 1% | Solidity |
fixed0x224 | 1 | 1% | Solidity |
fixed0x216 | 1 | 1% | Solidity |
fixed0x208 | 1 | 1% | Solidity |
fixed0x200 | 1 | 1% | Solidity |
fixed0x192 | 1 | 1% | Solidity |
fixed0x184 | 1 | 1% | Solidity |
fixed0x176 | 1 | 1% | Solidity |
fixed0x168 | 1 | 1% | Solidity |
fixed0x160 | 1 | 1% | Solidity |
fixed0x152 | 1 | 1% | Solidity |
fixed0x144 | 1 | 1% | Solidity |
fixed0x136 | 1 | 1% | Solidity |
fixed0x128 | 1 | 1% | Solidity |
fixed0x120 | 1 | 1% | Solidity |
fixed0x112 | 1 | 1% | Solidity |
fixed0x104 | 1 | 1% | Solidity |
fixed0x96 | 1 | 1% | Solidity |
fixed0x88 | 1 | 1% | Solidity |
fixed0x80 | 1 | 1% | Solidity |
fixed0x72 | 1 | 1% | Solidity |
fixed0x64 | 1 | 1% | Solidity |
fixed0x56 | 1 | 1% | Solidity |
fixed0x48 | 1 | 1% | Solidity |
fixed0x40 | 1 | 1% | Solidity |
fixed0x32 | 1 | 1% | Solidity |
fixed0x24 | 1 | 1% | Solidity |
fixed0x16 | 1 | 1% | Solidity |
fixed0x8 | 1 | 1% | Solidity |
bytes32 | 1 | 1% | Solidity |
bytes31 | 1 | 1% | Solidity |
bytes30 | 1 | 1% | Solidity |
bytes29 | 1 | 1% | Solidity |
bytes28 | 1 | 1% | Solidity |
bytes27 | 1 | 1% | Solidity |
bytes26 | 1 | 1% | Solidity |
bytes25 | 1 | 1% | Solidity |
bytes24 | 1 | 1% | Solidity |
bytes23 | 1 | 1% | Solidity |
bytes22 | 1 | 1% | Solidity |
bytes21 | 1 | 1% | Solidity |
bytes20 | 1 | 1% | Solidity |
bytes19 | 1 | 1% | Solidity |
bytes18 | 1 | 1% | Solidity |
bytes17 | 1 | 1% | Solidity |
bytes16 | 1 | 1% | Solidity |
bytes15 | 1 | 1% | Solidity |
bytes14 | 1 | 1% | Solidity |
bytes13 | 1 | 1% | Solidity |
bytes12 | 1 | 1% | Solidity |
bytes11 | 1 | 1% | Solidity |
bytes10 | 1 | 1% | Solidity |
bytes9 | 1 | 1% | Solidity |
bytes8 | 1 | 1% | Solidity |
bytes7 | 1 | 1% | Solidity |
bytes6 | 1 | 1% | Solidity |
bytes5 | 1 | 1% | Solidity |
bytes4 | 1 | 1% | Solidity |
bytes3 | 1 | 1% | Solidity |
bytes2 | 1 | 1% | Solidity |
bytes1 | 1 | 1% | Solidity |
uint256 | 1 | 1% | Solidity |
uint248 | 1 | 1% | Solidity |
uint240 | 1 | 1% | Solidity |
uint232 | 1 | 1% | Solidity |
uint224 | 1 | 1% | Solidity |
uint216 | 1 | 1% | Solidity |
uint208 | 1 | 1% | Solidity |
uint200 | 1 | 1% | Solidity |
uint192 | 1 | 1% | Solidity |
uint184 | 1 | 1% | Solidity |
uint176 | 1 | 1% | Solidity |
uint168 | 1 | 1% | Solidity |
uint160 | 1 | 1% | Solidity |
uint152 | 1 | 1% | Solidity |
uint144 | 1 | 1% | Solidity |
uint136 | 1 | 1% | Solidity |
uint128 | 1 | 1% | Solidity |
uint120 | 1 | 1% | Solidity |
uint112 | 1 | 1% | Solidity |
uint104 | 1 | 1% | Solidity |
uint96 | 1 | 1% | Solidity |
uint88 | 1 | 1% | Solidity |
uint80 | 1 | 1% | Solidity |
uint72 | 1 | 1% | Solidity |
uint56 | 1 | 1% | Solidity |
uint48 | 1 | 1% | Solidity |
uint40 | 1 | 1% | Solidity |
uint24 | 1 | 1% | Solidity |
int256 | 1 | 1% | Solidity |
int248 | 1 | 1% | Solidity |
int240 | 1 | 1% | Solidity |
int232 | 1 | 1% | Solidity |
int224 | 1 | 1% | Solidity |
int216 | 1 | 1% | Solidity |
int208 | 1 | 1% | Solidity |
int200 | 1 | 1% | Solidity |
int192 | 1 | 1% | Solidity |
int184 | 1 | 1% | Solidity |
int176 | 1 | 1% | Solidity |
int168 | 1 | 1% | Solidity |
int160 | 1 | 1% | Solidity |
int152 | 1 | 1% | Solidity |
int144 | 1 | 1% | Solidity |
int136 | 1 | 1% | Solidity |
int128 | 1 | 1% | Solidity |
int120 | 1 | 1% | Solidity |
int112 | 1 | 1% | Solidity |
int104 | 1 | 1% | Solidity |
int96 | 1 | 1% | Solidity |
int88 | 1 | 1% | Solidity |
int80 | 1 | 1% | Solidity |
int72 | 1 | 1% | Solidity |
int56 | 1 | 1% | Solidity |
int48 | 1 | 1% | Solidity |
int40 | 1 | 1% | Solidity |
int24 | 1 | 1% | Solidity |
Ufixed | 1 | 1% | Solidity |
Fixed | 1 | 1% | Solidity |
Uint | 1 | 1% | Solidity |
solidity | 1 | 1% | Solidity |
rethrow | 1 | 1% | Dart |
factory | 1 | 1% | Dart |
covariant | 1 | 1% | Dart |
member? | 1 | 1% | Scheme |
list? | 1 | 1% | Scheme |
syntax-rules | 1 | 1% | Scheme |
lambda* | 1 | 1% | Scheme |
cons | 1 | 1% | Scheme |
orelse | 1 | 1% | Erlang |
bxor | 1 | 1% | Erlang |
bsr | 1 | 1% | Erlang |
bsl | 1 | 1% | Erlang |
bor | 1 | 1% | Erlang |
bnot | 1 | 1% | Erlang |
andalso | 1 | 1% | Erlang |
NameOf | 1 | 1% | Visual Basic |
Async | 1 | 1% | Visual Basic |
retain | 1 | 1% | Objective-C |
nonatomic | 1 | 1% | Objective-C |
@selector | 1 | 1% | Objective-C |
@dynamic | 1 | 1% | Objective-C |
@synthesize | 1 | 1% | Objective-C |
@finally | 1 | 1% | Objective-C |
@catch() | 1 | 1% | Objective-C |
@throw | 1 | 1% | Objective-C |
@try | 1 | 1% | Objective-C |
@property | 1 | 1% | Objective-C |
@private | 1 | 1% | Objective-C |
@protected | 1 | 1% | Objective-C |
@public | 1 | 1% | Objective-C |
@class | 1 | 1% | Objective-C |
@protocol | 1 | 1% | Objective-C |
@implementation | 1 | 1% | Objective-C |
@end | 1 | 1% | Objective-C |
@interface | 1 | 1% | Objective-C |
YES | 1 | 1% | Objective-C |
SEL | 1 | 1% | Objective-C |
oneway | 1 | 1% | Objective-C |
IMP | 1 | 1% | Objective-C |
bycopy | 1 | 1% | Objective-C |
BOOL | 1 | 1% | Objective-C |
_Imaginary | 1 | 1% | Objective-C |
_Complex | 1 | 1% | Objective-C |
_Bool | 1 | 1% | Objective-C |
unset | 1 | 1% | Bash |
fil | 1 | 1% | Bash |
fin | 1 | 1% | Bash |
fi | 1 | 1% | Bash |
esac | 1 | 1% | Bash |
importall | 1 | 1% | Julia |
baremodule | 1 | 1% | Julia |
immutable | 1 | 1% | Julia |
bitstype | 1 | 1% | Julia |
qualified | 1 | 1% | Haskell |
mdo | 1 | 1% | Haskell |
hiding | 1 | 1% | Haskell |
deriving | 1 | 1% | Haskell |
family | 1 | 1% | Haskell |
-} | 1 | 1% | Haskell |
{-, | 1 | 1% | Haskell |
{, | 1 | 1% | Haskell |
` | 1 | 1% | Haskell |
\_ | 1 | 1% | Haskell |
|] | 1 | 1% | Haskell |
[|, | 1 | 1% | Haskell |
\* | 1 | 1% | Haskell |
=> | 1 | 1% | Haskell |
<- | 1 | 1% | Haskell |
-<< | 1 | 1% | Haskell |
-< | 1 | 1% | Haskell |
'' | 1 | 1% | Haskell |
' | 1 | 1% | Haskell |
:= | 1 | 1% | Pascal |
inlinescript | 1 | 1% | PowerShell |
workflow | 1 | 1% | PowerShell |
dynamicparam | 1 | 1% | PowerShell |
$ | 1 | 1% | Kotlin |
->; | 1 | 1% | Kotlin |
.. | 1 | 1% | Kotlin |
?: | 1 | 1% | Kotlin |
?. | 1 | 1% | Kotlin |
!! | 1 | 1% | Kotlin |
!== | 1 | 1% | Kotlin |
=== | 1 | 1% | Kotlin |
it | 1 | 1% | Kotlin |
vararg | 1 | 1% | Kotlin |
tailrec | 1 | 1% | Kotlin |
suspend | 1 | 1% | Kotlin |
reified | 1 | 1% | Kotlin |
noinline | 1 | 1% | Kotlin |
lateinit | 1 | 1% | Kotlin |
crossinline | 1 | 1% | Kotlin |
companion | 1 | 1% | Kotlin |
annotation | 1 | 1% | Kotlin |
setparam | 1 | 1% | Kotlin |
!in | 1 | 1% | Kotlin |
VERSION | 1 | 1% | PostgreSQL |
VALIDATOR | 1 | 1% | PostgreSQL |
VALID | 1 | 1% | PostgreSQL |
USER_DEFINED_TYPE_SCHEMA | 1 | 1% | PostgreSQL |
USER_DEFINED_TYPE_NAME | 1 | 1% | PostgreSQL |
USER_DEFINED_TYPE_CATALOG | 1 | 1% | PostgreSQL |
UNNAMED | 1 | 1% | PostgreSQL |
UNLISTEN | 1 | 1% | PostgreSQL |
UNENCRYPTED | 1 | 1% | PostgreSQL |
UNCOMMITTED | 1 | 1% | PostgreSQL |
TRUSTED | 1 | 1% | PostgreSQL |
TRIGGER_SCHEMA | 1 | 1% | PostgreSQL |
TRIGGER_NAME | 1 | 1% | PostgreSQL |
TRIGGER_CATALOG | 1 | 1% | PostgreSQL |
TRANSFORMS | 1 | 1% | PostgreSQL |
TRANSFORM | 1 | 1% | PostgreSQL |
TRANSACTION_ACTIVE | 1 | 1% | PostgreSQL |
TRANSACTIONS_ROLLED_BACK | 1 | 1% | PostgreSQL |
TRANSACTIONS_COMMITTED | 1 | 1% | PostgreSQL |
TOAST | 1 | 1% | PostgreSQL |
TEMPLATE | 1 | 1% | PostgreSQL |
TABLE_NAME | 1 | 1% | PostgreSQL |
SYSID | 1 | 1% | PostgreSQL |
SUBLIST | 1 | 1% | PostgreSQL |
SUBCLASS_ORIGIN | 1 | 1% | PostgreSQL |
STYLE | 1 | 1% | PostgreSQL |
STRICT | 1 | 1% | PostgreSQL |
STORAGE | 1 | 1% | PostgreSQL |
STDOUT | 1 | 1% | PostgreSQL |
STDIN | 1 | 1% | PostgreSQL |
STABLE | 1 | 1% | PostgreSQL |
SPECIFIC_NAME | 1 | 1% | PostgreSQL |
SIMPLE | 1 | 1% | PostgreSQL |
SHARE | 1 | 1% | PostgreSQL |
SETOF | 1 | 1% | PostgreSQL |
SERVER_NAME | 1 | 1% | PostgreSQL |
SERIALIZABLE | 1 | 1% | PostgreSQL |
SCHEMA_NAME | 1 | 1% | PostgreSQL |
SCALE | 1 | 1% | PostgreSQL |
ROW_COUNT | 1 | 1% | PostgreSQL |
ROUTINE_SCHEMA | 1 | 1% | PostgreSQL |
ROUTINE_NAME | 1 | 1% | PostgreSQL |
ROUTINE_CATALOG | 1 | 1% | PostgreSQL |
RETURNED_SQLSTATE | 1 | 1% | PostgreSQL |
RETURNED_OCTET_LENGTH | 1 | 1% | PostgreSQL |
RETURNED_LENGTH | 1 | 1% | PostgreSQL |
REPEATABLE | 1 | 1% | PostgreSQL |
RECHECK | 1 | 1% | PostgreSQL |
PROCEDURAL | 1 | 1% | PostgreSQL |
PLI | 1 | 1% | PostgreSQL |
PENDANT | 1 | 1% | PostgreSQL |
PARAMETER_SPECIFIC_SCHEMA | 1 | 1% | PostgreSQL |
PARAMETER_SPECIFIC_NAME | 1 | 1% | PostgreSQL |
PARAMETER_SPECIFIC_CATALOG | 1 | 1% | PostgreSQL |
PARAMETER_ORDINAL_POSITION | 1 | 1% | PostgreSQL |
PARAMETER_NAME | 1 | 1% | PostgreSQL |
PARAMETER_MODE | 1 | 1% | PostgreSQL |
OWNER | 1 | 1% | PostgreSQL |
OVERRIDING | 1 | 1% | PostgreSQL |
OPTIONS | 1 | 1% | PostgreSQL |
OIDS | 1 | 1% | PostgreSQL |
0 | 1 | 1% | PostgreSQL |
NULLABLE | 1 | 1% | PostgreSQL |
NOTIFY | 1 | 1% | PostgreSQL |
NOTHING | 1 | 1% | PostgreSQL |
NOCREATEUSER | 1 | 1% | PostgreSQL |
NOCREATEDB | 1 | 1% | PostgreSQL |
MUMPS | 1 | 1% | PostgreSQL |
MORE | 1 | 1% | PostgreSQL |
MINVALUE | 1 | 1% | PostgreSQL |
MESSAGE_TEXT | 1 | 1% | PostgreSQL |
MESSAGE_OCTET_LENGTH | 1 | 1% | PostgreSQL |
MESSAGE_LENGTH | 1 | 1% | PostgreSQL |
LOCATION | 1 | 1% | PostgreSQL |
LISTEN | 1 | 1% | PostgreSQL |
LANCOMPILER | 1 | 1% | PostgreSQL |
KEY_TYPE | 1 | 1% | PostgreSQL |
KEY_MEMBER | 1 | 1% | PostgreSQL |
INVOKER | 1 | 1% | PostgreSQL |
INSTANTIABLE | 1 | 1% | PostgreSQL |
INSTANCE | 1 | 1% | PostgreSQL |
INFIX | 1 | 1% | PostgreSQL |
INCREMENT | 1 | 1% | PostgreSQL |
IMPLEMENTATION | 1 | 1% | PostgreSQL |
IMMUTABLE | 1 | 1% | PostgreSQL |
HIERARCHY | 1 | 1% | PostgreSQL |
HANDLER | 1 | 1% | PostgreSQL |
GRANTED | 1 | 1% | PostgreSQL |
FORWARD | 1 | 1% | PostgreSQL |
EXISTING | 1 | 1% | PostgreSQL |
ENCRYPTED | 1 | 1% | PostgreSQL |
ENCODING | 1 | 1% | PostgreSQL |
DYNAMIC_FUNCTION_CODE | 1 | 1% | PostgreSQL |
DYNAMIC_FUNCTION | 1 | 1% | PostgreSQL |
DISPATCH | 1 | 1% | PostgreSQL |
DELIMITERS | 1 | 1% | PostgreSQL |
DEFINER | 1 | 1% | PostgreSQL |
DEFINED | 1 | 1% | PostgreSQL |
DATETIME_INTERVAL_PRECISION | 1 | 1% | PostgreSQL |
DATETIME_INTERVAL_CODE | 1 | 1% | PostgreSQL |
CURSOR_NAME | 1 | 1% | PostgreSQL |
CREATEUSER | 1 | 1% | PostgreSQL |
CREATEDB | 1 | 1% | PostgreSQL |
CONVERSION | 1 | 1% | PostgreSQL |
CONSTRAINT_SCHEMA | 1 | 1% | PostgreSQL |
CONSTRAINT_NAME | 1 | 1% | PostgreSQL |
CONSTRAINT_CATALOG | 1 | 1% | PostgreSQL |
CONNECTION_NAME | 1 | 1% | PostgreSQL |
CONDITION_NUMBER | 1 | 1% | PostgreSQL |
COMMITTED | 1 | 1% | PostgreSQL |
COMMENT | 1 | 1% | PostgreSQL |
COMMAND_FUNCTION_CODE | 1 | 1% | PostgreSQL |
COMMAND_FUNCTION | 1 | 1% | PostgreSQL |
COLUMN_NAME | 1 | 1% | PostgreSQL |
COLLATION_SCHEMA | 1 | 1% | PostgreSQL |
COLLATION_NAME | 1 | 1% | PostgreSQL |
COLLATION_CATALOG | 1 | 1% | PostgreSQL |
CLUSTER | 1 | 1% | PostgreSQL |
CLASS_ORIGIN | 1 | 1% | PostgreSQL |
CHECKED | 1 | 1% | PostgreSQL |
CHARACTER_SET_SCHEMA | 1 | 1% | PostgreSQL |
CHARACTER_SET_NAME | 1 | 1% | PostgreSQL |
CHARACTER_SET_CATALOG | 1 | 1% | PostgreSQL |
CHARACTERISTICS | 1 | 1% | PostgreSQL |
CHAIN | 1 | 1% | PostgreSQL |
CATALOG_NAME | 1 | 1% | PostgreSQL |
CACHE | 1 | 1% | PostgreSQL |
BITVAR | 1 | 1% | PostgreSQL |
BACKWARD | 1 | 1% | PostgreSQL |
ABS | 1 | 1% | PostgreSQL |
unsized | 1 | 1% | Rust |
priv | 1 | 1% | Rust |
offsetof | 1 | 1% | Rust |
crate | 1 | 1% | Rust |
box | 1 | 1% | Rust |
become | 1 | 1% | Rust |
requeue | 1 | 1% | Ada |
renames | 1 | 1% | Ada |
digits | 1 | 1% | Ada |
delta | 1 | 1% | Ada |
limited | 1 | 1% | Ada |
delay | 1 | 1% | Ada |
terminate | 1 | 1% | Ada |
overriding | 1 | 1% | Ada |
aliased | 1 | 1% | Ada |
reverse | 1 | 1% | Ada |
abort | 1 | 1% | Ada |
forSome | 1 | 1% | Scala |
willSet | 1 | 1% | Swift |
unowned | 1 | 1% | Swift |
required | 1 | 1% | Swift |
precedence | 1 | 1% | Swift |
nonmutating | 1 | 1% | Swift |
none | 1 | 1% | Swift |
mutating | 1 | 1% | Swift |
indirect | 1 | 1% | Swift |
didSet | 1 | 1% | Swift |
convenience | 1 | 1% | Swift |
associativity | 1 | 1% | Swift |
#selector | 1 | 1% | Swift |
#else#elseif | 1 | 1% | Swift |
#available | 1 | 1% | Swift |
rethrows | 1 | 1% | Swift |
dynamicType | 1 | 1% | Swift |
guard | 1 | 1% | Swift |
subscript | 1 | 1% | Swift |
protocol | 1 | 1% | Swift |
deinit | 1 | 1% | Swift |
associatedtype | 1 | 1% | Swift |
ZEROS | 1 | 1% | COBOL |
ZEROES | 1 | 1% | COBOL |
ZERO | 1 | 1% | COBOL |
WRITE-ONLY | 1 | 1% | COBOL |
WORKING-STORAGE | 1 | 1% | COBOL |
WORDS | 1 | 1% | COBOL |
WHEN-COMPILED | 1 | 1% | COBOL |
UPON | 1 | 1% | COBOL |
UP | 1 | 1% | COBOL |
UNSTRING | 1 | 1% | COBOL |
TRACE | 1 | 1% | COBOL |
TITLE | 1 | 1% | COBOL |
TIMES | 1 | 1% | COBOL |
THRU | 1 | 1% | COBOL |
THROUGH | 1 | 1% | COBOL |
TEST | 1 | 1% | COBOL |
TERMINAL | 1 | 1% | COBOL |
TAPE | 1 | 1% | COBOL |
TALLYING | 1 | 1% | COBOL |
TALLY | 1 | 1% | COBOL |
SYNC | 1 | 1% | COBOL |
SYMBOLIC | 1 | 1% | COBOL |
SUPPRESS | 1 | 1% | COBOL |
SUBTRACT | 1 | 1% | COBOL |
SUB-QUEUE-3 | 1 | 1% | COBOL |
SUB-QUEUE-2 | 1 | 1% | COBOL |
SUB-QUEUE-1 | 1 | 1% | COBOL |
STANDARD-2 | 1 | 1% | COBOL |
STANDARD-1 | 1 | 1% | COBOL |
STANDARD | 1 | 1% | COBOL |
SPECIAL-NAMES | 1 | 1% | COBOL |
SPACES | 1 | 1% | COBOL |
SOURCE-COMPUTER | 1 | 1% | COBOL |
SORT-RETURN | 1 | 1% | COBOL |
SORT-MODE-SIZE | 1 | 1% | COBOL |
SORT-MESSAGE | 1 | 1% | COBOL |
SORT-MERGE | 1 | 1% | COBOL |
SORT-FILE-SIZE | 1 | 1% | COBOL |
SORT-CORE-SIZE | 1 | 1% | COBOL |
SORT-CONTROL | 1 | 1% | COBOL |
SKIP3 | 1 | 1% | COBOL |
SKIP2 | 1 | 1% | COBOL |
SKIP1 | 1 | 1% | COBOL |
SIGN | 1 | 1% | COBOL |
SHIFT-OUT | 1 | 1% | COBOL |
SHIFT-IN | 1 | 1% | COBOL |
SERVICE | 1 | 1% | COBOL |
SEPARATE | 1 | 1% | COBOL |
SENTENCE | 1 | 1% | COBOL |
SEND | 1 | 1% | COBOL |
SEGMENT-LIMIT | 1 | 1% | COBOL |
SEGMENT | 1 | 1% | COBOL |
SD | 1 | 1% | COBOL |
SAME | 1 | 1% | COBOL |
RUN | 1 | 1% | COBOL |
ROUNDED | 1 | 1% | COBOL |
RH | 1 | 1% | COBOL |
RF | 1 | 1% | COBOL |
REWRITE | 1 | 1% | COBOL |
REVERSED | 1 | 1% | COBOL |
RETURN-CODE | 1 | 1% | COBOL |
RESERVE | 1 | 1% | COBOL |
RERUN | 1 | 1% | COBOL |
REPOSITORY | 1 | 1% | COBOL |
REPORTS | 1 | 1% | COBOL |
REPORTING | 1 | 1% | COBOL |
REPORT | 1 | 1% | COBOL |
REPLACING | 1 | 1% | COBOL |
RENAMES | 1 | 1% | COBOL |
REMOVAL | 1 | 1% | COBOL |
REMAINDER | 1 | 1% | COBOL |
RELOAD | 1 | 1% | COBOL |
REFERENCE | 1 | 1% | COBOL |
REEL | 1 | 1% | COBOL |
REDEFINES | 1 | 1% | COBOL |
RECORDS | 1 | 1% | COBOL |
RECORDING | 1 | 1% | COBOL |
RECEIVE | 1 | 1% | COBOL |
READY | 1 | 1% | COBOL |
RD | 1 | 1% | COBOL |
QUOTES | 1 | 1% | COBOL |
QUOTE | 1 | 1% | COBOL |
QUEUE | 1 | 1% | COBOL |
PROGRAM-ID | 1 | 1% | COBOL |
PROCESSING | 1 | 1% | COBOL |
PROCEED | 1 | 1% | COBOL |
PROCEDURES | 1 | 1% | COBOL |
PROCEDURE-POINTER | 1 | 1% | COBOL |
PRINTING | 1 | 1% | COBOL |
POSITIVE | 1 | 1% | COBOL |
PLUS | 1 | 1% | COBOL |
PICTURE | 1 | 1% | COBOL |
PIC | 1 | 1% | COBOL |
PH | 1 | 1% | COBOL |
PF | 1 | 1% | COBOL |
PERFORM | 1 | 1% | COBOL |
PAGE-COUNTER | 1 | 1% | COBOL |
PAGE | 1 | 1% | COBOL |
PADDING | 1 | 1% | COBOL |
PACKED-DECIMAL | 1 | 1% | COBOL |
OVERFLOW | 1 | 1% | COBOL |
OTHER | 1 | 1% | COBOL |
ORGANIZATION | 1 | 1% | COBOL |
OMITTED | 1 | 1% | COBOL |
OCCURS | 1 | 1% | COBOL |
OBJECT-COMPUTER | 1 | 1% | COBOL |
NUMERIC-EDITED | 1 | 1% | COBOL |
NEGATIVE | 1 | 1% | COBOL |
NATIVE_BINARY | 1 | 1% | COBOL |
MULTIPLY | 1 | 1% | COBOL |
MULTIPLE | 1 | 1% | COBOL |
MORE-LABELS | 1 | 1% | COBOL |
MODULES | 1 | 1% | COBOL |
METHOD-ID | 1 | 1% | COBOL |
METACLASS | 1 | 1% | COBOL |
MESSAGE | 1 | 1% | COBOL |
MEMORY | 1 | 1% | COBOL |
LOW-VALUES | 1 | 1% | COBOL |
LOW-VALUE | 1 | 1% | COBOL |
LOCAL-STORAGE | 1 | 1% | COBOL |
LINKAGE | 1 | 1% | COBOL |
LINE-COUNTER | 1 | 1% | COBOL |
LINAGE-COUNTER | 1 | 1% | COBOL |
LINAGE | 1 | 1% | COBOL |
LIMITS | 1 | 1% | COBOL |
LABEL | 1 | 1% | COBOL |
KANJI | 1 | 1% | COBOL |
JUSTIFIED | 1 | 1% | COBOL |
JUST | 1 | 1% | COBOL |
INVOKE | 1 | 1% | COBOL |
INVALID | 1 | 1% | COBOL |
INSTALLATION | 1 | 1% | COBOL |
INSPECT | 1 | 1% | COBOL |
INPUT-OUTPUT | 1 | 1% | COBOL |
INITIATE | 1 | 1% | COBOL |
INITIAL | 1 | 1% | COBOL |
INDICATE | 1 | 1% | COBOL |
IDENTIFICATION | 1 | 1% | COBOL |
ID | 1 | 1% | COBOL |
I-O-CONTROL | 1 | 1% | COBOL |
I-O | 1 | 1% | COBOL |
HIGH-VALUES | 1 | 1% | COBOL |
HIGH-VALUE | 1 | 1% | COBOL |
HEADING | 1 | 1% | COBOL |
GREATER | 1 | 1% | COBOL |
GOBACK | 1 | 1% | COBOL |
GIVING | 1 | 1% | COBOL |
GENERATE | 1 | 1% | COBOL |
FOOTING | 1 | 1% | COBOL |
FILLER | 1 | 1% | COBOL |
FILE-CONTROL | 1 | 1% | COBOL |
FD | 1 | 1% | COBOL |
EXTEND | 1 | 1% | COBOL |
ESI | 1 | 1% | COBOL |
ERROR | 1 | 1% | COBOL |
EQUAL | 1 | 1% | COBOL |
EOP | 1 | 1% | COBOL |
ENVIRONMENT | 1 | 1% | COBOL |
ENTER | 1 | 1% | COBOL |
ENDING | 1 | 1% | COBOL |
END-WRITE | 1 | 1% | COBOL |
END-UNSTRING | 1 | 1% | COBOL |
END-SUBTRACT | 1 | 1% | COBOL |
END-STRING | 1 | 1% | COBOL |
END-START | 1 | 1% | COBOL |
END-SEARCH | 1 | 1% | COBOL |
END-REWRITE | 1 | 1% | COBOL |
END-RETURN | 1 | 1% | COBOL |
END-RECEIVE | 1 | 1% | COBOL |
END-READ | 1 | 1% | COBOL |
END-PERFORM | 1 | 1% | COBOL |
END-OF-PAGE | 1 | 1% | COBOL |
END-MULTIPLY | 1 | 1% | COBOL |
END-INVOKE | 1 | 1% | COBOL |
END-IF | 1 | 1% | COBOL |
END-EVALUATE | 1 | 1% | COBOL |
END-DIVIDE | 1 | 1% | COBOL |
END-DELETE | 1 | 1% | COBOL |
END-COMPUTE | 1 | 1% | COBOL |
END-CALL | 1 | 1% | COBOL |
END-ADD | 1 | 1% | COBOL |
EMI | 1 | 1% | COBOL |
EJECT | 1 | 1% | COBOL |
EGI | 1 | 1% | COBOL |
EGCS | 1 | 1% | COBOL |
DUPLICATES | 1 | 1% | COBOL |
DOWN | 1 | 1% | COBOL |
DIVISION | 1 | 1% | COBOL |
DIVIDE | 1 | 1% | COBOL |
DISPLAY-1 | 1 | 1% | COBOL |
DISPLAY | 1 | 1% | COBOL |
DETAIL | 1 | 1% | COBOL |
DESTINATION | 1 | 1% | COBOL |
DEPENDING | 1 | 1% | COBOL |
DELIMITED | 1 | 1% | COBOL |
DECLARATIVES | 1 | 1% | COBOL |
DECIMAL-POINT | 1 | 1% | COBOL |
DEBUGGING | 1 | 1% | COBOL |
DEBUG-SUB-3 | 1 | 1% | COBOL |
DEBUG-SUB-2 | 1 | 1% | COBOL |
DEBUG-SUB-1 | 1 | 1% | COBOL |
DEBUG-NAME | 1 | 1% | COBOL |
DEBUG-LINE | 1 | 1% | COBOL |
DEBUG-ITEM | 1 | 1% | COBOL |
DEBUG-CONTENTS | 1 | 1% | COBOL |
DE | 1 | 1% | COBOL |
DBCS | 1 | 1% | COBOL |
DAY-OF-WEEK | 1 | 1% | COBOL |
DATE-WRITTEN | 1 | 1% | COBOL |
DATE-COMPILED | 1 | 1% | COBOL |
CONVERTING | 1 | 1% | COBOL |
CONTROLS | 1 | 1% | COBOL |
CONTROL | 1 | 1% | COBOL |
CONTENT | 1 | 1% | COBOL |
CONFIGURATION | 1 | 1% | COBOL |
COMPUTATIONAL-5 | 1 | 1% | COBOL |
COMPUTATIONAL-4 | 1 | 1% | COBOL |
COMPUTATIONAL-3 | 1 | 1% | COBOL |
COMPUTATIONAL-2 | 1 | 1% | COBOL |
COMPUTATIONAL-1 | 1 | 1% | COBOL |
COMPUTATIONAL | 1 | 1% | COBOL |
COMP-5 | 1 | 1% | COBOL |
COMP-4 | 1 | 1% | COBOL |
COMP-3 | 1 | 1% | COBOL |
COMP-2 | 1 | 1% | COBOL |
COMP-1 | 1 | 1% | COBOL |
COMP | 1 | 1% | COBOL |
COMMUNICATION | 1 | 1% | COBOL |
COMMA | 1 | 1% | COBOL |
COM-REG | 1 | 1% | COBOL |
COLLATING | 1 | 1% | COBOL |
CODE-SET | 1 | 1% | COBOL |
CODE | 1 | 1% | COBOL |
CLOCK-UNITS | 1 | 1% | COBOL |
CLASS-ID | 1 | 1% | COBOL |
CHARACTERS | 1 | 1% | COBOL |
CH | 1 | 1% | COBOL |
CF | 1 | 1% | COBOL |
CD | 1 | 1% | COBOL |
CBL | 1 | 1% | COBOL |
CANCEL | 1 | 1% | COBOL |
BOTTOM | 1 | 1% | COBOL |
BEGINNING | 1 | 1% | COBOL |
BASIS | 1 | 1% | COBOL |
AUTHOR | 1 | 1% | COBOL |
AREAS | 1 | 1% | COBOL |
AREA | 1 | 1% | COBOL |
APPLY | 1 | 1% | COBOL |
ALTERNATE | 1 | 1% | COBOL |
ALSO | 1 | 1% | COBOL |
ALPHANUMERIC-EDITED | 1 | 1% | COBOL |
ALPHANUMERIC | 1 | 1% | COBOL |
ALPHABETIC-UPPER | 1 | 1% | COBOL |
ALPHABETIC-LOWER | 1 | 1% | COBOL |
ALPHABETIC | 1 | 1% | COBOL |
ALPHABET | 1 | 1% | COBOL |
ADVANCING | 1 | 1% | COBOL |
ADDRESS | 1 | 1% | COBOL |
ACCEPT | 1 | 1% | COBOL |
go | 1 | 1% | Go |
chan | 1 | 1% | Go |
... | 1 | 1% | R |
NA_character_ | 1 | 1% | R |
NA_complex_ | 1 | 1% | R |
NA_real_ | 1 | 1% | R |
NA_integer_ | 1 | 1% | R |
NA | 1 | 1% | R |
Inf | 1 | 1% | R |
STORED | 1 | 1% | MySQL |
ROW_NUMBER | 1 | 1% | MySQL |
RANK | 1 | 1% | MySQL |
OPTIMIZER_COSTS | 1 | 1% | MySQL |
NTILE | 1 | 1% | MySQL |
NTH_VALUE | 1 | 1% | MySQL |
MASTER_BIND | 1 | 1% | MySQL |
LEAD | 1 | 1% | MySQL |
LAST_VALUE | 1 | 1% | MySQL |
LAG | 1 | 1% | MySQL |
JSON_TABLE | 1 | 1% | MySQL |
IO_BEFORE_GTIDS | 1 | 1% | MySQL |
IO_AFTER_GTIDS | 1 | 1% | MySQL |
GROUPS | 1 | 1% | MySQL |
FIRST_VALUE | 1 | 1% | MySQL |
EMPTY | 1 | 1% | MySQL |
DENSE_RANK | 1 | 1% | MySQL |
UNFORMATTED | 1 | 1% | Fortran |
TARGET | 1 | 1% | Fortran |
SUBROUTINE | 1 | 1% | Fortran |
RECl | 1 | 1% | Fortran |
REC | 1 | 1% | Fortran |
READWRITE | 1 | 1% | Fortran |
PAUSE | 1 | 1% | Fortran |
OPENED | 1 | 1% | Fortran |
NULLIFY | 1 | 1% | Fortran |
NML | 1 | 1% | Fortran |
NEXTREC | 1 | 1% | Fortran |
NAMELIST | 1 | 1% | Fortran |
NAMED | 1 | 1% | Fortran |
LOGICAL | 1 | 1% | Fortran |
LEN | 1 | 1% | Fortran |
KIND | 1 | 1% | Fortran |
10STAT | 1 | 1% | Fortran |
IOLENGTH | 1 | 1% | Fortran |
INTRINSIC | 1 | 1% | Fortran |
INTENT | 1 | 1% | Fortran |
INQUIRE | 1 | 1% | Fortran |
FORMATTED | 1 | 1% | Fortran |
FORMAT | 1 | 1% | Fortran |
FORM | 1 | 1% | Fortran |
FMT | 1 | 1% | Fortran |
EXIST | 1 | 1% | Fortran |
ERR | 1 | 1% | Fortran |
EQUIVALENCE | 1 | 1% | Fortran |
EOR | 1 | 1% | Fortran |
ENDFILE | 1 | 1% | Fortran |
ELSEWHERE | 1 | 1% | Fortran |
DIRECT | 1 | 1% | Fortran |
DIMENSION | 1 | 1% | Fortran |
DELIM | 1 | 1% | Fortran |
COMPLEX | 1 | 1% | Fortran |
BACKSPACE | 1 | 1% | Fortran |
ALLOCATABLE | 1 | 1% | Fortran |
ADVANCE | 1 | 1% | Fortran |
unchecked | 1 | 1% | C# |
stackalloc | 1 | 1% | C# |
sbyte | 1 | 1% | C# |
remove | 1 | 1% | C# |
readonly | 1 | 1% | C# |
partial | 1 | 1% | C# |
params | 1 | 1% | C# |
orderby | 1 | 1% | C# |
redo | 1 | 1% | Ruby |
defined | 1 | 1% | Ruby |
__ENCODING__ | 1 | 1% | Ruby |
qr | 1 | 1% | Perl |
1 | 1% | Perl | |
CORE | 1 | 1% | Perl |
q | 1 | 1% | Perl |
cmp | 1 | 1% | Perl |
tr | 1 | 1% | Perl |
__PACKAGE__ | 1 | 1% | Perl |
ne | 1 | 1% | Perl |
exp | 1 | 1% | Perl |
s | 1 | 1% | Perl |
qx | 1 | 1% | Perl |
__END__ | 1 | 1% | Perl |
qw | 1 | 1% | Perl |
__DATA__ | 1 | 1% | Perl |
unset() | 1 | 1% | PHP |
require_once | 1 | 1% | PHP |
list() | 1 | 1% | PHP |
isset() | 1 | 1% | PHP |
insteadof | 1 | 1% | PHP |
include_once | 1 | 1% | PHP |
exit() | 1 | 1% | PHP |
eval() | 1 | 1% | PHP |
endswitch | 1 | 1% | PHP |
endforeach | 1 | 1% | PHP |
enddeclare | 1 | 1% | PHP |
empty() | 1 | 1% | PHP |
echo | 1 | 1% | PHP |
die() | 1 | 1% | PHP |
clone | 1 | 1% | PHP |
callable | 1 | 1% | PHP |
array() | 1 | 1% | PHP |
__TRAIT__ | 1 | 1% | PHP |
__NAMESPACE__ | 1 | 1% | PHP |
__METHOD__ | 1 | 1% | PHP |
__halt_compiler() | 1 | 1% | PHP |
__FUNCTION__ | 1 | 1% | PHP |
__DIR__ | 1 | 1% | PHP |
__CLASS__ | 1 | 1% | PHP |
xor_eq | 1 | 1% | C++ |
wchar_t | 1 | 1% | C++ |
typename | 1 | 1% | C++ |
transaction_safe_dynamic | 1 | 1% | C++ |
transaction_safe | 1 | 1% | C++ |
thread_local | 1 | 1% | C++ |
static_cast | 1 | 1% | C++ |
static_assert | 1 | 1% | C++ |
reinterpret_cast | 1 | 1% | C++ |
or_eq | 1 | 1% | C++ |
nullptr | 1 | 1% | C++ |
not_eq | 1 | 1% | C++ |
noexcept | 1 | 1% | C++ |
friend | 1 | 1% | C++ |
dynamic_cast | 1 | 1% | C++ |
decltype | 1 | 1% | C++ |
const_cast | 1 | 1% | C++ |
constexpr | 1 | 1% | C++ |
compl | 1 | 1% | C++ |
char32_t | 1 | 1% | C++ |
char16_t | 1 | 1% | C++ |
atomic_noexcept | 1 | 1% | C++ |
atomic_commit | 1 | 1% | C++ |
atomic_cancel | 1 | 1% | C++ |
and_eq | 1 | 1% | C++ |
alignas | 1 | 1% | C++ |
#undef | 1 | 1% | C++ |
#pragma | 1 | 1% | C++ |
#ifndef | 1 | 1% | C++ |
#ifdef | 1 | 1% | C++ |
#else | 1 | 1% | C++ |
#elif | 1 | 1% | C++ |
#defined | 1 | 1% | C++ |
#define | 1 | 1% | C++ |
XML | 1 | 1% | SQL |
SUBSTR | 1 | 1% | SQL |
RTRIM | 1 | 1% | SQL |
NVARCHAR | 1 | 1% | SQL |
LTRIM | 1 | 1% | SQL |
LONGINT | 1 | 1% | SQL |
GET_CURRENT_CONNECTION | 1 | 1% | SQL |
ENDEXEC | 1 | 1% | SQL |
pass | 1 | 1% | Python |
nonlocal | 1 | 1% | Python |
del | 1 | 1% | Python |
arguments | 1 | 1% | JavaScript |